vhdl पर टैग किए गए जवाब

VHDL (VHSIC (वेरी हाई स्पीड इंटीग्रेटेड सर्किट) हार्डवेयर डिटेल लैंग्वेज) एक हार्डवेयर डिस्क्रिप्शन लैंग्वेज है, जिसका उपयोग इलेक्ट्रॉनिक डिज़ाइन ऑटोमेशन में डिजिटल प्रोग्राम जैसे कि फील्ड-प्रोग्रामेबल गेट एरे और इंटीग्रेटेड सर्किट को डिजाइन करने के लिए किया जाता है।

8
VHDL सीखने का प्रोजेक्ट
मैं एक ईई छात्र हूं और उंगलियों के मुकाबले अधिक भाषाओं में [कम से कम सरल] कार्यक्रम लिख सकता हूं। मैंने अभी VHDL सीखना शुरू किया है और मैं सोच रहा था कि भाषा और संबंधित उपकरणों को जानने के लिए वास्तव में एक अच्छी परियोजना क्या होगी? मुझे एक …
16 fpga  xilinx  vhdl 

7
परीक्षण और सत्यापन में क्या अंतर है?
मेरे द्वारा देखी गई प्रत्येक पाठ्यपुस्तक इस तथ्य का एक बड़ा सौदा बनाती है कि परीक्षण और सत्यापन दो अलग-अलग अवधारणाएँ हैं। फिर भी उनमें से कोई भी एक स्पष्ट (या मेरे लिए पर्याप्त स्पष्ट, अंतिम) अंतर प्रदान नहीं करता है। कुछ संदर्भ प्रदान करने के लिए, मैं हार्डवेयर डिज़ाइन …

4
BJT ट्रांजिस्टर संतृप्त अवस्था में कैसे काम करते हैं?
यह मैं NPN BJTs (द्विध्रुवी जंक्शन ट्रांजिस्टर) के बारे में जानता हूँ: बेस-एमिटर करंट को कलेक्टर-एमिटर पर HFE बार प्रवर्धित किया जाता है, ताकि Ice = Ibe * HFE Vbeबेस-एमिटर के बीच वोल्टेज है, और, किसी भी डायोड की तरह, आमतौर पर लगभग 0,65 वी है। मुझे इसके बारे में …

3
VHDL: आर्किटेक्चर नामकरण और व्याख्या
नोट: मैं Xilinx के ISE का उपयोग कर रहा हूं और स्विच (रोशनी और इतने पर) के साथ काम करने के लिए एक FPGA बोर्ड है, और मैंने अब तक कुछ सरल परियोजनाओं को एक साथ हैक किया है। उसी समय मैं जो कर रहा हूं उसकी नींव बनाने के …

5
CPLD या FPGAs पर योजनाबद्ध डिज़ाइन पर मुझे Verilog या VHDL का चयन करने से क्या होगा?
मेरे पास प्रोग्रामेबल लॉजिक की कोई पृष्ठभूमि नहीं है, मैं अपनी परियोजनाओं में ज्यादातर माइक्रोकंट्रोलर का उपयोग करता हूं लेकिन हाल ही में मुझे वीडियो के साथ काम करने की आवश्यकता थी और माइक्रोकंट्रोलर को बस मेरी जरूरत के हिसाब से बहुत धीमी गति से काम करना पड़ता है इसलिए …


4
FPGA फर्मवेयर डिजाइन: कितना बड़ा है बड़ा?
मेरे पास विशेष रूप से बड़े सिग्नल प्रोसेसिंग ट्रांसफ़ॉर्म हैं जिन्हें मैटलैब से वीएचडीएल में पोर्ट करने की आवश्यकता है। इसके लिए निश्चित रूप से किसी प्रकार के संसाधन साझाकरण की आवश्यकता होती है। थोड़ी गणना ने मुझे निम्नलिखित दिया: 64-अंक के 512 एफएफटी 41210 गुणा-जोड़ आपरेशन सबसे बड़े वीरटेक्स …
13 fpga  vhdl  xilinx 

7
सस्ते FPGA देव बोर्ड [बंद]
बन्द है। यह सवाल ऑफ टॉपिक है । यह वर्तमान में उत्तर स्वीकार नहीं कर रहा है। इस प्रश्न को सुधारना चाहते हैं? प्रश्न को अपडेट करें ताकि यह इलेक्ट्रिकल इंजीनियरिंग स्टैक एक्सचेंज के लिए विषय पर हो । 4 साल पहले बंद हुआ । मैं FPGA से शुरुआत करना …
12 fpga  vhdl  jtag 

6
FPGA पर किताब की सिफारिशें [बंद]
बन्द है। यह सवाल ऑफ टॉपिक है । यह वर्तमान में उत्तर स्वीकार नहीं कर रहा है। इस प्रश्न को सुधारना चाहते हैं? प्रश्न को अपडेट करें ताकि यह इलेक्ट्रिकल इंजीनियरिंग स्टैक एक्सचेंज के लिए विषय पर हो । 2 साल पहले बंद हुआ । FPGAs और VHDL के साथ …
12 fpga  books  vhdl 

1
मैं मॉडलसिम में लाल संकेतों को कैसे डिबग करूं?
मुझे दहनशील भाग के लिए केवल NAND गेट्स और अनुक्रमिक तर्क के लिए D फ्लिप फ्लॉप का उपयोग करके एक राज्य मशीन डिजाइन करना है। 1ghz / 53 की घड़ी में सब कुछ चलना चाहिए। अब इससे पहले कि आप मेरे साथ "हम आपके लिए अपना होमवर्क नहीं करेंगे" पर …

2
मैं VHDL में संकेतों की "परवाह नहीं" कैसे निर्दिष्ट कर सकता हूं?
लॉजिक डिज़ाइन पाठ्यक्रमों में हम सभी ने यह सीखा कि लॉजिक फ़ंक्शन को कम करना संभव है, उदाहरण के लिए कर्णघ मानचित्र या क्वीन-मैकक्लूस्की एल्गोरिथ्म का उपयोग करके । हमने यह भी सीखा कि " डोन्ट केयर" मूल्य न्यूनतम क्षमता को बढ़ाते हैं। उदाहरण के लिए एक रजिस्टर फ़ाइल लें। …

3
एक FPGA डिजाइन के क्षेत्रों की पहचान कैसे करें जो सबसे अधिक संसाधनों और क्षेत्र का उपयोग करते हैं?
मैं एक बड़े FPGA डिजाइन पर काम कर रहा हूं, और मैं FPGA की संसाधन सीमाओं के बहुत करीब हूं, जिसका मैं वर्तमान में उपयोग कर रहा हूं, CSG225 पैकेज में Xilinx LX16। डिजाइन भी लगभग पूरा हो गया है, हालांकि फिलहाल यह FPGA में फिट नहीं होगा। मैं इसे …

2
VHDL में if-else और Case स्टेटमेंट के बीच अंतर
मैं समझना चाहता हूं कि VHDL कोड में विभिन्न निर्माण RTL में कैसे संश्लेषित होते हैं। क्या कोई मुझे बता सकता है कि संश्लेषण के उपकरण द्वारा आरटीएल सर्किट में कोड का पता कैसे लगाया जाता है, अगर वीएचडीएल में आईएफ-एल्स निर्माण और केस स्टेटमेंट के बीच अंतर होता है …
11 vhdl  code-design  rtl 

12
क्या आप आजकल वीएचडीएल का उपयोग करते हैं?
मैं इलेक्ट्रिकल इंजीनियरिंग का छात्र हूं और मैं VHDL के रूप में जाना जाने वाला हार्डवेयर विवरण भाषा का अध्ययन कर रहा हूं। मैंने इसके लिए Google पर एक आईडीई (मैं एक मैक पर हूं) की तलाश की, लेकिन यह भाषा काफी मृत प्रतीत होती है। तो यहाँ मेरा सवाल …
11 vhdl 

4
जब यह इंटीग्रेटर बनाम इंटेगर का उपयोग करने के लिए neater है?
इस प्रश्न के उत्तर पर टिप्पणी थ्रेड में: VHDL इकाई में गलत आउटपुट यह कहा गया था: "पूर्णांक के साथ आपके पास FPGA में आंतरिक तर्क प्रतिनिधित्व पर नियंत्रण या पहुंच नहीं है, जबकि SLV आपको कैरी चेन का कुशलतापूर्वक उपयोग करने जैसी तरकीबें देता है" तो, आपने किन परिस्थितियों …
11 fpga  vhdl 

हमारी साइट का प्रयोग करके, आप स्वीकार करते हैं कि आपने हमारी Cookie Policy और निजता नीति को पढ़ और समझा लिया है।
Licensed under cc by-sa 3.0 with attribution required.