vhdl पर टैग किए गए जवाब

VHDL (VHSIC (वेरी हाई स्पीड इंटीग्रेटेड सर्किट) हार्डवेयर डिटेल लैंग्वेज) एक हार्डवेयर डिस्क्रिप्शन लैंग्वेज है, जिसका उपयोग इलेक्ट्रॉनिक डिज़ाइन ऑटोमेशन में डिजिटल प्रोग्राम जैसे कि फील्ड-प्रोग्रामेबल गेट एरे और इंटीग्रेटेड सर्किट को डिजाइन करने के लिए किया जाता है।

11
VHDL या वेरिलॉग? [बन्द है]
वीएचडीएल और वेरिलोग दिन के एचडीएल हैं। एचडीएल के साथ कोई अनुभव नहीं रखने वाले किसी व्यक्ति के लिए या तो क्या फायदे हैं?
93 vhdl  verilog  hdl 

2
FPGA HDL संश्लेषण से अलग ASIC डिजाइन कैसे है?
मुझे FPGA / HDL टूल सूट जैसे Xilinx ISE, Lattice Diamond, आदि के साथ कुछ अनुभव हुआ है। सामान्य वर्कफ़्लो Verilog / VHDL, सिमुलेशन, परीक्षण और फिर FPGA की प्रोग्रामिंग कर रहा है। मैंने सुना है कुछ लोगों का कहना है कि ASIC डिजाइन बहुत अलग है। दो प्रमुख प्रकार …
42 fpga  vhdl  verilog  software  asic 

7
एक एचडीएल में सीपीयू के पठनीय और शैक्षिक कार्यान्वयन
क्या आप वीएचडीएल या वेरिलोग में सीपीयू के एक पठनीय और शैक्षिक कार्यान्वयन की सिफारिश कर सकते हैं? अधिमानतः कुछ अच्छी तरह से प्रलेखित। पीएस मुझे पता है कि मैं देख सकता हूं opencores, लेकिन मुझे उन सामानों में विशेष रूप से दिलचस्पी है जिन्हें लोगों ने वास्तव में देखा …


6
VHDL: एक INTEGER प्रकार से एक STD_LOGIC_VECTOR में परिवर्तित
मैंने एक मॉड -16 काउंटर बनाया है, और आउटपुट परिणाम एक INTEGER है (सभी उदाहरण जिन्हें मैंने INTEGER इस्तेमाल किया है)। मैंने एक हेक्स-टू-7-सेगमेंट-डिस्प्ले डिकोडर बनाया है, और इसका इनपुट एक STD_LOGIC_VECTOR है (इसे इस तरह लिखा है क्योंकि सत्य तालिका को मैप करना आसान था)। मैं काउंटर के आउटपुट …
28 vhdl 

17
VHDL और FPGA सिद्धांत सीखने के लिए सबसे सस्ती FPGA डेव किट?
ताला लगा हुआ । यह सवाल और इसके जवाब बंद हैं क्योंकि यह सवाल ऑफ-टॉपिक है लेकिन इसका ऐतिहासिक महत्व है। यह वर्तमान में नए उत्तरों या इंटरैक्शन को स्वीकार नहीं कर रहा है। मैं ऐसी चीज की तलाश कर रहा हूं, जिसके साथ मैं खेल सकूं, लेकिन बहुत ज्यादा …
27 fpga  vhdl 

4
VHDL: घटक बनाम इकाई
मैं सोच रहा हूँ कि घटक एक इकाई के बीच क्या अंतर है। मैं जानना चाहता हूं कि किन मामलों में संस्थाओं के बजाय घटकों का उपयोग करना बेहतर है। बहुत बहुत धन्यवाद।
25 vhdl  components 

11
वीएचडीएल साक्षात्कार प्रश्न - यह पता लगाना कि क्या किसी संख्या को शेष के बिना 5 से विभाजित किया जा सकता है
मैंने वीएचडीएल के लिए एक अच्छा साक्षात्कार प्रश्न देखा - एक प्रणाली का निर्माण करें जो एक नंबर प्राप्त करता है और पता लगाता है कि क्या इसे 5 शेष द्वारा विभाजित किया जा सकता है। मैंने एक राज्य मशीन के साथ हल करने की कोशिश की (मुझे लगता है …

4
std_logic या std_ulogic?
ऐसा लगता है कि दुनिया ने फैसला किया है कि std_logic(और std_logic_vector) वीएचडीएल में बिट्स का प्रतिनिधित्व करने का डिफ़ॉल्ट तरीका है। विकल्प होगा std_ulogic, जो हल नहीं है। यह मुझे आश्चर्यचकित करता है क्योंकि आमतौर पर, आप एक बस का वर्णन नहीं कर रहे हैं , इसलिए आप करते …
24 vhdl 

7
मैं एचडीएल कैसे सीखूं
मेरे पास इस सेमेस्टर में डिजिटल डिज़ाइन का एक कोर्स है और मैं इसे प्यार करता हूँ। अब मुझे पता है कि एम्बेडेड सिस्टम और डिजिटल डिज़ाइन में अधिकांश काम पहले कंप्यूटर सिमुलेटर पर किया जाता है और फिर हार्डवेयर्स का उपयोग करके लागू किया जाता है। इसलिए मैं सोच …
24 simulation  vhdl  verilog  hdl 

4
VHDL जो FPGA को नुकसान पहुंचा सकता है
मैंने कहीं पढ़ा है कि खराब VHDL कोड FPGA को नुकसान पहुंचा सकता है। क्या वीएचडीएल कोड के साथ एफपीजीए को नुकसान पहुंचाना संभव है? किस तरह की स्थितियां इसका कारण बनेंगी और सबसे खराब स्थिति क्या हैं?
22 fpga  vhdl 

4
एक GNU / लिनक्स पर्यावरण के लिए VHDL IDE
मुझे 0 से VHDL का अध्ययन करना है और मैं एक विकल्प रखना चाहूंगा जो NT / Windows के बजाय एक लिनक्स कर्नेल के तहत चलता हो: मेरी युक्तियाँ? मैं वास्तव में एक शुरुआत के लिए अच्छे VHDL संसाधनों के लिए कुछ अच्छे लिंक की सराहना कर सकता हूं, धन्यवाद।
19 vhdl  ide 

1
सॉफ्ट-सीपीयू सत्यापन
मैं अभी Xilinx ISE और ISIM का उपयोग करके VHDL में एक साधारण CPU डिजाइन करने की प्रक्रिया में हूँ। डिजाइन भाग उल्लेखनीय रूप से अच्छा चल रहा है, लेकिन मैं सुसंगत तरीके से सत्यापन करने का एक तरीका नहीं खोज सकता। अभी मेरे पास एक वीएचडीएल परीक्षण बेंच है …
18 fpga  vhdl  cpu  test 


3
VHDL: संश्लेषण के लिए पूर्णांक?
अगर मैं संश्लेषण संकेतों और बंदरगाहों, आदि के लिए VHDL में पूर्णांक का उपयोग कर रहा हूं, तो मैं थोड़ा उलझन में हूं। मैं शीर्ष स्तर के बंदरगाहों पर std_logic का उपयोग करता हूं, लेकिन आंतरिक रूप से मैं पूरे स्थान पर राउंडेड पूर्णांक का उपयोग कर रहा था । …
17 vhdl  synthesis 

हमारी साइट का प्रयोग करके, आप स्वीकार करते हैं कि आपने हमारी Cookie Policy और निजता नीति को पढ़ और समझा लिया है।
Licensed under cc by-sa 3.0 with attribution required.