fpga पर टैग किए गए जवाब

एक फील्ड-प्रोग्रामेबल गेट ऐरे (FPGA) एक लॉजिक चिप है जिसे मैन्युफैक्चरिंग के बाद ग्राहक द्वारा कॉन्फ़िगर किया जाता है - इसलिए "फील्ड-प्रोग्रामेबल"।

3
FPGA, पहले चरण
खैर यह यहाँ पर FPGA पर मेरे सवाल का एक निरंतरता है । मैंने आखिरकार एक स्पार्टन 6 एफपीजीए के साथ डिजीलेंट एटिलेस का चयन किया , मुझे एफपीजीए का कोई पूर्व अनुभव नहीं है, हालांकि मैंने माइक्रो-कंट्रोलर्स के साथ कुछ काम किया है। मैंने पिछले कुछ दिनों को FPGA …
11 fpga  xilinx  spartan 

4
FPGA: गिनें या गिनें?
मैं एक FPGA (पैपिलियो डेवलपमेंट बोर्ड, जिसमें xilinx संयमी 3e है, vhdh का उपयोग करके) का उपयोग करना सीख रहा हूं। मुझे एक आवक पल्स को एक (हार्ड कोडित) संख्या से विभाजित करने की आवश्यकता है। मैं 3 विकल्प देख सकता हूं - मोटे तौर पर, स्यूडोकोड के रूप में …
11 fpga  vhdl  xilinx  papilio 

2
दो आईसी के बीच एक थरथरानवाला साझा करना
मेरे पास एक ही बोर्ड पर एक माइक्रोकंट्रोलर और एक FPGA है। यदि वे दोनों एक ही घड़ी की गति से चलने वाले हैं, तो क्या मैं उन दोनों को देखने के लिए एक ऑसिलेटर का उपयोग कर सकता हूं? ऐसा लगता है कि कुछ ऐसा है जिसे मुझे यहां …

2
एक FPGA में "आधा कुंडी" क्या है?
विकिरण में मुश्किल FPGAs के बारे में एक कागज में मैं इस वाक्य में आया: "वीरटेक्स उपकरणों के बारे में एक और चिंता आधी कुंडी है। आंतरिक स्थिरांक के लिए इन उपकरणों के भीतर कभी-कभी आधा कुंडी का उपयोग किया जाता है, क्योंकि यह तर्क का उपयोग करने से अधिक …
10 fpga  vhdl  xilinx  radiation 

2
CPLD और FPGA में क्या अंतर है? [बन्द है]
बन्द है। यह सवाल ऑफ टॉपिक है । यह वर्तमान में उत्तर स्वीकार नहीं कर रहा है। इस प्रश्न को सुधारना चाहते हैं? प्रश्न को अपडेट करें ताकि यह इलेक्ट्रिकल इंजीनियरिंग स्टैक एक्सचेंज के लिए विषय पर हो । 4 साल पहले बंद हुआ । CPLD और FPGA में क्या …

5
VHDL: डिज़ाइन में गुणक लागू करते समय '*' ऑपरेटर का उपयोग करना
वर्तमान में FPGAs ने DSP ब्लॉकों में बनाया है, नवीनतम FPGAs ने IEEE-754 संगत फ्लोटिंग पॉइंट इकाइयों में भी बनाया है। इसमें आवश्यक मापदंडों का चयन करने के बाद जीएसआई का उपयोग करके डीएसपी इकाई / मॉड्यूल बनाना संभव है, और फिर इसे डिजाइन में तत्काल। वास्तविक DSP ब्लॉकों को …
10 fpga  vhdl  dsp 

1
FPGA बाहरी मेमोरी से लिंक करता है
मैं नेक्सस 4 एफपीजीए विकास बोर्ड पर सेलुलर राम का उपयोग करने की कोशिश कर रहा हूं । मैं Xilinx Vivado का उपयोग कर रहा हूँ और एक माइक्रोब्लज़े सॉफ्ट कोर प्रोसेसर को पढने और लिखने में सक्षम होने के लिए चाहूँगा। अब तक मैंने एक ब्लॉक डिज़ाइन में प्रोसेसर …
10 fpga  memory  ram 

3
FPGA पर प्रक्रिया का समय
मैं fpgas में नया हूं, और कुछ समय सूक्ष्मताएं हैं जो मुझे यकीन नहीं है कि मैं समझता हूं: यदि मेरी सभी तुल्यकालिक प्रक्रियाएं एक ही किनारे पर चालू हो जाती हैं, तो इसका मतलब है कि मेरे इनपुट एक बढ़ती हुई बढ़त पर 'कैप्चर' किए गए हैं, और मेरे …

1
एमडी 5 वीएचडीएल पाइपलाइन
मैं इस लिंक के अनुसार एक 3-चरण एमडी 5 पाइपलाइन को लागू करने की कोशिश कर रहा हूं । विशेष रूप से पृष्ठ ३१ पर बीजगणित। एक अन्य दस्तावेज भी है जो डेटा अग्रेषण का वर्णन करता है। यह एक FPGA (Terasic DE2-115) में किया जाता है। इस परियोजना में …
10 fpga  vhdl 

4
एक घड़ी के दोनों किनारों का उपयोग करना
मैं वेरिलॉग और क्वार्टस II का उपयोग करके एक एल्टर साइक्लोन IV की प्रोग्रामिंग कर रहा हूं। मेरे डिजाइन में, मैं एक घड़ी के दोनों किनारों का उपयोग करना चाहूंगा ताकि मैं 50% कर्तव्य चक्र के साथ एक विषम कारक द्वारा घड़ी विभाजन कर सकूं। यहाँ मेरे कोड का एक …


3
घड़ी तिरछा क्या है, और यह नकारात्मक क्यों हो सकता है?
मेरा एचडीएल कंपाइलर (क्वार्टस II) टाइमिंग रिपोर्ट तैयार करता है। इसमें, नोड्स में "घड़ी तिरछा" कॉलम है। घड़ी की तिरछी नज़र की एकमात्र परिभाषा मुझे टाइमक्वेस्ट प्रलेखन में है (पृष्ठ 7-24 देखें): घड़ी की अनिश्चितता के लिए मैन्युअल रूप से घड़ी अनिश्चितता, या तिरछा निर्दिष्ट करने के लिए, set_clock_uncertaintyकमांड का …

2
स्कैनर से स्क्रैप किए गए सीसीडी सेंसर का उपयोग कैसे करूं?
मैंने बिना पावर एडॉप्टर वाला एक पुराना स्कैनर प्राप्त किया। मैंने इसे एक संगत एडेप्टर के साथ कुछ करने के लिए वायर्ड किया, लेकिन जाहिर तौर पर यह विशेष स्कैनर इसे बिजली आपूर्ति (भले ही यह नियमित 12 वी डीसी होने का अनुमान है) से बहुत बंधा हुआ है। जब …
10 arduino  fpga  camera  ccd 

1
FPGA आधारित Ambilight क्लोन कैसे बनाएं?
कुछ त्वरित पृष्ठभूमि: Ambilight कुछ Philips टीवी पर एक प्रणाली है जो स्क्रीन पर रंग की जानकारी का विश्लेषण करती है और फिर प्रदर्शन के पीछे कुछ LED को दीवार पर स्क्रीन के रंग को प्रोजेक्ट करने के लिए सेट करती है। यह एक सुंदर निफ्टी प्रभाव है। अब इस …
10 fpga  hdmi 

3
जब एक FPGA चालू होता है और अपुष्ट छोड़ दिया जाता है तो क्या होता है?
मैं एक सामान्य समझ पाने की कोशिश कर रहा हूं कि क्या होता है यदि आप लंबे समय तक एक एफपीजीए अप्रमाणित छोड़ देते हैं। मान लीजिए कि आपके पास एक FPGA है और आप इसे लंबे समय तक (पावर-ऑन के बाद कई मिनट से लेकर घंटों) तक अप्रमाणित छोड़ …

हमारी साइट का प्रयोग करके, आप स्वीकार करते हैं कि आपने हमारी Cookie Policy और निजता नीति को पढ़ और समझा लिया है।
Licensed under cc by-sa 3.0 with attribution required.