std_logic
एक संकल्प समारोह है
न केवल std_logic
इसके अलावा अधिक उपयोगी राज्य हैं 1
और 0
, इसमें एक रिज़ॉल्यूशन फ़ंक्शन भी परिभाषित है।
एक संकल्प समारोह एक VHDL भाषा अवधारणा है। यह एक फ़ंक्शन है जो एक प्रकार से जुड़ा होता है, और यह निर्धारित करता है कि क्या होता है जब उस प्रकार के कई मान एकल सिग्नल पर लागू होते हैं। वाक्य रचना है:
SUBTYPE std_logic IS resolved std_ulogic;
जहां std_ulogic
का अनसुलझा (और इस तरह बहुत कम उपयोगी) संस्करण है std_logic
।
विशेष रूप से, इसका मतलब है अच्छी चीजों की तरह 0
और 1
सुराग के लिए X
:
library ieee;
use ieee.std_logic_1164.all;
entity std_logic_tb is
end std_logic_tb;
architecture behav of std_logic_tb is
signal s0 : std_logic;
begin
s0 <= '0';
s0 <= '1';
process
begin
wait for 1 ns;
assert s0 = 'X';
wait;
end process;
end behav;
यह सहज ज्ञान युक्त बनाता है, जैसा कि हम समझते X
हैं कि राज्य जहां एक ही तार पर कई असंगत मूल्य लागू होते हैं।
std_logic
यह भी जानता है कि एलआरएम पर मौजूद तालिका के अनुसार इनपुट संकेतों के हर दूसरे संभावित जोड़े को कैसे हल किया जाए।
bit
दूसरी ओर, एक रिज़ॉल्यूशन फ़ंक्शन नहीं होता है, और अगर हमने इसे उपरोक्त उदाहरण पर उपयोग किया है, तो यह GHDL 0.34 पर एक सिमुलेशन त्रुटि का कारण होगा।
के संभावित मान std_logic
एक अच्छा विकल्प है क्योंकि वे द्वारा मानकीकृत कर रहे हैं आईईईई 1164 कई सामान्य उपयोग के मामलों के साथ और सौदा।