Intel 8086 CPU का अनुकरण करें


157

नोट: कुछ जवाब आये हैं। नए उत्तरों को भी उभारने पर विचार करें।


8086 इंटेल की पहली x86 माइक्रोप्रोसेसर है। आपका कार्य इसके लिए एक एमुलेटर लिखना है। चूंकि यह अपेक्षाकृत उन्नत है, मैं इसे लिट्टी को सीमित करना चाहता हूं:

  • केवल निम्नलिखित opcodes को लागू करने की आवश्यकता है:
    • Mov, पुश, पॉप, xchg
    • जोड़ें, adc, sub, sbb, cmp, और, या, xor
    • inc, dec
    • कॉल, रिट, जेएमपी
    • jb, jz, jbe, js, jnb, jnz, jnbe, jns
    • stc, clc
    • hlt, एनओपी
  • इसके परिणामस्वरूप, आपको केवल कैरी, शून्य और साइन फ्लैग की गणना करने की आवश्यकता है
  • खंडों को लागू न करें। मान लें cs = ds = ss = 0
  • कोई उपसर्ग नहीं
  • किसी प्रकार का व्यवधान या पोर्ट IO नहीं
  • कोई स्ट्रिंग फ़ंक्शन नहीं
  • कोई दो-बाइट opcodes (0F ..)
  • कोई अस्थायी बिंदु अंकगणित नहीं
  • (जाहिर है) कोई 32-बिट चीजें, sse, mmx, ... जो कुछ भी अभी तक 1979 में आविष्कार नहीं किया गया है
  • आपको चक्र गिनने या किसी भी समय करने की आवश्यकता नहीं है

के साथ शुरू करो ip = 0और sp = 100h


इनपुट: आपके एमुलेटर को किसी भी तरह के प्रारूप में एक द्विआधारी प्रोग्राम लेना चाहिए जो आपको इनपुट के रूप में पसंद है (फ़ाइल, पूर्वनिर्धारित सरणी, ... से पढ़ें) और इसे 0 पते पर मेमोरी में लोड करें।

आउटपुट: वीडियो रैम 8000h पते पर शुरू होता है, प्रत्येक बाइट एक (ASCII-) वर्ण है। कंसोल के लिए 80x25 स्क्रीन का अनुकरण करें। रिक्त स्थान की तरह शून्य बाइट्स का इलाज करें।

उदाहरण:

08000   2E 2E 2E 2E 2E 2E 2E 2E 2E 00 00 00 00 00 00 00   ................
08010   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08020   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08030   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08040   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08050   48 65 6C 6C 6F 2C 20 77 6F 72 6C 64 21 00 00 00   Hello,.world!...

नोट: यह वास्तविक वीडियो मोड के लिए बहुत ही अनुकरणीय है, जो आमतौर पर 0xB8000 पर होता है और इसमें रंगों के लिए एक और बाइट प्रति वर्ण होता है।

जीत मापदंड:

  • सभी उल्लिखित निर्देशों को लागू करने की आवश्यकता है
  • मैंने एक अनियोजित परीक्षण कार्यक्रम ( लिंक , nasm स्रोत ) बनाया जो ठीक से चलना चाहिए। यह आउटपुट करता है

    .........                                                                       
    Hello, world!                                                                   
    0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~ 
    
    
    ################################################################################
    ##                                                                            ##
    ##  0 1 1 2 3 5 8 13 21 34 55 89 144 233 377 610 987                          ##
    ##                                                                            ##
    ##  0 1 4 9 16 25 36 49 64 81 100 121 144 169 196 225 256 289 324 361 400     ##
    ##                                                                            ##
    ##  2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97    ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ################################################################################
    
  • मुझे यकीन नहीं है कि यह कोडगुल्फ होना चाहिए; यह एक कठिन काम है, इसलिए किसी भी तरह से किसी भी सबमिशन को जीत लिया जाएगा। कृपया टिप्पणी करें।

इस कार्य में आपकी सहायता करने के लिए यहां कुछ लिंक दिए गए हैं:

यह इस मंच पर मेरी पहली प्रविष्टि है। यदि कोई गलतियाँ हैं, तो कृपया उन्हें इंगित करें; अगर मैं एक विवरण याद किया, बस पूछो।


5
अभी तक मेरे लिए बहुत उन्नत है, लेकिन मैं इस सवाल का जवाब देखने के लिए बहुत उत्सुक हूं क्योंकि यह ठीक उसी तरह का सामान है जिसमें मैं सबसे ज्यादा दिलचस्पी रखता हूं! मैं बाद में इस पर एक दरार ले सकता हूं अगर मुझे विशेष रूप से मर्दाना महसूस हो रहा है ...
क्रिस ब्राउन

3
@ क्रिसब्रुक सौभाग्यशाली होने के नाते! मैं वर्तमान में अपने 8086 को 80386 में बदल रहा हूं और अब तक इस परियोजना से बहुत कुछ सीखा है
कॉपी

2
+1 + पसंदीदा ... जब मैंने इस प्रश्न को देखा तो मैं उस भावना को व्यक्त करना शुरू नहीं कर पाया जो मुझे मिला।
ixtmixilix

2
@copy यह बहुत देर हो चुकी हर एक भाषा / मेजबान जोड़ी के लिए एक गोल्फ प्रतियोगिता बनाने के लिए कभी नहीं है
Yauhen Yakimovich

2
@ मार्टिनबटनर, निश्चित रूप से, यह सवाल उस टैग से पुराना है और मूल रूप से एक लोकप्रियता प्रतियोगिता रही है
कॉपी करें

जवाबों:


84

इसे कांटा और गोल्फ के लिए स्वतंत्र महसूस करें: https://github.com/julienaubert/py8086

नतीजा मैंने एक इंटरैक्टिव डिबगर भी शामिल किया।

CF:0 ZF:0 SF:0 IP:0x0000
AX:0x0000  CX:0x0000  DX:0x0000  BX:0x0000  SP:0x0100  BP:0x0000  SI:0x0000  DI:0x0000
AL:  0x00  CL:  0x00  DL:  0x00  BL:  0x00  AH:  0x00  CH:  0x00  DH:  0x00  BH:  0x00
stack: 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 ...
cmp SP, 0x100
[Enter]:step [R]:run [B 0xadr]:add break [M 0xadr]:see RAM [Q]:quit

B 0x10
M 0x1
M 0x1: 0xfc 0x00 0x01 0x74 0x01 0xf4 0xbc 0x00 0x10 0xb0 0x2e 0xbb ...
R

CF:0 ZF:0 SF:1 IP:0x0010
AX:0x002e  CX:0x0000  DX:0x0000  BX:0xffff  SP:0x1000  BP:0x0000  SI:0x0000  DI:0x0000
AL:  0x2e  CL:  0x00  DL:  0x00  BL:  0xff  AH:  0x00  CH:  0x00  DH:  0x00  BH:  0x00
stack: 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 ...
cmp BX, 0xffff
[Enter]:step [R]:run [B 0xadr]:add break [M 0xadr]:see RAM [Q]:quit

तीन फाइलें हैं: emu8086.py (आवश्यक) कंसोलहोम (डिस्प्ले आउटपुट के लिए वैकल्पिक), डिस्मॉसहोम (वैकल्पिक, कोडगुल्म में एसम की सूची प्राप्त करने के लिए)।

प्रदर्शन के साथ चलाने के लिए (नोट शाप का उपयोग करता है):

python emu8086.py 

इंटरैक्टिव डिबगर के साथ चलने के लिए:

python emu8086.py a b

गैर-इंटरैक्टिव "डीबगर" के साथ चलने के लिए:

python emu8086.py a

कार्यक्रम " कोडगॉल्फ " एक ही निर्देशिका में होना चाहिए।

emu8086.py

console.py

disasm.py

गीथूब पर


9
यह एक पहली कोड गोल्फ पोस्ट का एक नरक है। +1 +1 +1 +1 +1 +1 +1 +1 ...
डिलन कॉवर

@ डीडीसी धन्यवाद :) एक मजेदार चुनौती थी!
ja

1
अभी भी विश्वास नहीं हो सकता है कि किसी ने वास्तव में यह किया है :-) महान काम!
कॉपी करें

1
गजब का! बधाई हो! अंत में इसकी कितनी रेखाएँ थीं?
विल एनपी

59

हास्केल, 256 234 196 लाइनें

मेरे पास कुछ समय के लिए यह कार्य-प्रगति है, मैंने इसे प्रकाशित करने से पहले थोड़ा और चमकाने का इरादा किया था, लेकिन अब मज़ा की आधिकारिक तौर पर शुरुआत हो गई है, इसे अब और छिपाए रखने का कोई मतलब नहीं है। मैंने इसे निकालते समय देखा कि यह बिल्कुल 256 लाइनों की है, इसलिए मुझे लगता है कि यह अपने अस्तित्व के "उल्लेखनीय" बिंदु पर है।

इसमें क्या है: उदाहरण के लिए द्विआधारी को निर्दोष रूप से चलाने के लिए 8086 अनुदेश सेट के बमुश्किल पर्याप्त है। स्व-संशोधित कोड समर्थित है। (प्रीफ़ैच: जीरो बाइट्स)
विडंबना यह है कि कोड के पहले पर्याप्त पुनरावृत्तियों लंबे समय तक और ओपोड स्पैन के कम समर्थित थे। कोडिंग लंबाई और ओपोड कवरेज दोनों के लिए रिफैक्टिंग फायदेमंद हो गया।

बाहर क्या है: जाहिर है, सेगमेंट, उपसर्ग और मल्टीबाइट ऑपकोड, इंटरप्ट, आई / ओ पोर्ट, स्ट्रिंग संचालन और एफपी। मैंने शुरू में मूल PUSH SPव्यवहार का पालन किया , लेकिन कुछ पुनरावृत्तियों के बाद इसे छोड़ना पड़ा।

ADC/ के कुछ मामलों में कैरी फ्लैग के परिणाम शायद बहुत गड़बड़ हैं SBB

वैसे भी, यहाँ कोड है:

------------------------------------------------------------
-- Imports

-- They're the only lines I allow to go over 80 characters.
-- For the simple reason the code would work just as well without the
-- actual symbol list, but I like to keep it up to date to better
-- grasp my dependency graph.

import           Control.Monad.Reader      (ReaderT,runReaderT,ask,lift,forever,forM,when,void)
import           Control.Monad.ST          (ST,runST)
import           Control.Monad.Trans.Maybe (MaybeT,runMaybeT)
import           Data.Array.ST             (STUArray,readArray,writeArray,newArray,newListArray)
import           Data.Bits                 (FiniteBits,(.&.),(.|.),xor,shiftL,shiftR,testBit,finiteBitSize)
import           Data.Bool                 (bool)
import qualified Data.ByteString as B      (unpack,getContents)
import           Data.Char                 (chr,isPrint) -- for screen dump
import           Data.Int                  (Int8)
import           Data.STRef                (STRef,newSTRef,readSTRef,writeSTRef,modifySTRef)
import           Data.Word                 (Word8,Word16)

------------------------------------------------------------
-- Bytes and Words
-- Bytes are 8 bits.  Words are 16 bits.  Addressing is little-endian.

-- Phantom types.  Essentially (only?) used for the ALU
byte = undefined :: Word8
word = undefined :: Word16

-- Byte to word conversion
byteToWordSE = (fromIntegral :: Int8 -> Word16) .
               (fromIntegral :: Word8 -> Int8)

-- Two-bytes to word conversion
concatBytes :: Word8 -> Word8 -> Word16
concatBytes l h = fromIntegral l .|. (fromIntegral h `shiftL` 8)

-- Word to two bytes conversion
wordToByteL,wordToByteH :: Word16 -> Word8
wordToByteL = fromIntegral
wordToByteH = fromIntegral . (`shiftR` 8)

-- A Place is an lvalue byte or word.  In absence of I/O ports, this
-- means RAM or register file.  This type synonym is not strictly
-- needed, but without it it's unclear I could keep the alu function
-- type signature under twice 80 characters, so why not keep this.
type Place s = (STUArray s Word16 Word8,Word16)

-- Read and write, byte or word, from RAM or register file

class (Ord a,FiniteBits a,Num a) => Width a where
  readW  :: Place s ->      MonadCPU s a
  writeW :: Place s -> a -> MonadCPU s ()

instance Width Word8 where
  readW  =  liftST    . uncurry readArray
  writeW = (liftST .) . uncurry writeArray

instance Width Word16 where
  readW (p,a) = concatBytes <$> readW (p,a) <*> readW (p,a+1)
  writeW (p,a) val = do
    writeW (p,a)   $ wordToByteL val
    writeW (p,a+1) $ wordToByteH val

------------------------------------------------------------
-- CPU object

-- The actual CPU state.  Yeah, I obviously don't have all flags in! :-D
data CPU s = CPU { ram  :: STUArray s Word16 Word8
                 , regs :: STUArray s Word16 Word8
                 , cf :: STRef s Bool
                 , zf :: STRef s Bool
                 , sf :: STRef s Bool }

newCPU rawRam = do ramRef <- newListArray (0,0xFFFF) rawRam
                   regFile <- newArray (0,17) 0
                   cf <- newSTRef False
                   zf <- newSTRef False
                   sf <- newSTRef False
                   return $ CPU ramRef regFile cf zf sf

-- Register addresses within the register file.  Note odd placement
-- for BX and related.  Also note the 16-bit registers have a wider
-- pitch.  IP was shoehorned in recently, it doesn't really need an
-- address here, but it made other code shorter, so that's that.

-- In the 8-bit subfile, only regAl is used in the code (and it's 0,
-- so consider that a line I could totally have skipped)
[regAl,regAh,regCl,regCh,regDl,regDh,regBl,regBh] = [0..7]

-- In the 16-bit file, they're almost if not all referenced.  8086
-- sure is clunky.
[regAx,regCx,regDx,regBx,regSp,regBp,regSi,regDi,regIp] = [0,2..16]

-- These functions look like I got part of the Lens intuition
-- independently, come to look at it after the fact.  Cool :-)
readCpu  ext   = liftST .      readSTRef    . ext =<< ask
writeCpu ext f = liftST . flip writeSTRef f . ext =<< ask

-- It looks like the only operations IP can receive are relative moves
-- (incrIP function below) and a single absolute set: RET.  I deduce
-- only short jumps, not even near, were in the spec.
incrIP i = do old <- readReg regIp
              writeReg regIp (old + i)
              return old

-- Read next instruction.  Directly from RAM, so no pipeline prefetch.
readInstr8 = incrIP 1 >>= readRam
readInstr16 = concatBytes <$> readInstr8 <*> readInstr8

-- RAM/register file R/W specializers
readReg  reg      = ask >>= \p -> readW  (regs p,reg)
readRam  addr     = ask >>= \p -> readW  (ram p ,addr)
writeReg reg val  = ask >>= \p -> writeW (regs p,reg)  val
writeRam addr val = ask >>= \p -> writeW (ram p ,addr) val

-- I'm not quite sure what those do anymore, or why they're separate.
decodeReg8  n = fromIntegral $ (n `shiftL` 1) .|. (n `shiftR` 2)
decodeReg16 n = fromIntegral $  n `shiftL` 1
readDecodedReg8 = readReg . decodeReg8
readDecodedReg16 = readReg . decodeReg16

-- The monad type synonym make type signatures easier :-(
type MonadCPU s = MaybeT (ReaderT (CPU s) (ST s))

-- Specialized liftST, because the one from Hackage loses the
-- parameter, and I need it to be able to qualify Place.
liftST :: ST s a -> MonadCPU s a
liftST = lift . lift

------------------------------------------------------------
-- Instructions

-- This is arguably the core secret of the 8086 architecture.
-- See statement links for actual explanations.
readModRM = do
  modRM <- readInstr8
  let mod   =  modRM           `shiftR` 6
      opReg = (modRM .&. 0x38) `shiftR` 3
      rm    =  modRM .&. 0x07
  cpu <- ask
  operand <- case mod of
               0 -> do
                 addr <- case rm of
                           1 -> (+) <$> readReg regBx <*> readReg regDi
                           2 -> (+) <$> readReg regBp <*> readReg regSi
                           6 -> readInstr16
                           7 -> readReg regBx
                 return (ram cpu,addr)
               2 -> do
                 addr <- case rm of
                           5 -> (+) <$> readReg regDi <*> readInstr16
                           7 -> (+) <$> readReg regBx <*> readInstr16
                 return (ram cpu,addr)
               3 -> return (regs cpu,2*fromIntegral rm)
  return (operand,opReg,opReg)

-- Stack operations.  PUSH by value (does NOT reproduce PUSH SP behavior)
push16 val = do
  sp <- subtract 2 <$> readReg regSp
  writeReg regSp sp
  writeRam sp (val :: Word16)
pop16 = do
  sp <- readReg regSp
  val <- readRam sp
  writeReg regSp (sp+2)
  return (val :: Word16)

-- So, yeah, JMP seems to be relative (short) only.  Well, if that's enough…
jump cond = when cond . void . incrIP . byteToWordSE =<< readInstr8

-- The ALU.  The most complicated type signature in this file.  An
-- initial argument as a phantom type I tried to get rid of and
-- failed.
alu :: Width w => w -> MonadCPU s w -> MonadCPU s w -> Place s
    -> (w -> w -> MonadCPU s (Bool,Maybe Bool,w)) -> MonadCPU s ()
alu _ a b r op = do
  (rw,c,v) <- a >>= (b >>=) . op
  when rw $ writeW r v
  maybe (return ()) (writeCpu cf) c
  writeCpu zf (v == 0)
  writeCpu sf (testBit v (finiteBitSize v - 1))
decodeALU 0 = \a b -> return (True, Just (a >= negate b),       a   +   b)
decodeALU 1 = \a b -> return (True, Just False,                 a  .|.  b)
decodeALU 2 = \a b -> bool 0 1 <$> readCpu cf >>= \c ->
                      return (True, Just (a >= negate (b + c)), a + b + c)
decodeALU 3 = \a b -> bool 0 1 <$> readCpu cf >>= \c ->
                      return (True, Just (a < b + c),           a - b - c)
decodeALU 4 = \a b -> return (True, Just False,                 a  .&.  b)
decodeALU 5 = \a b -> return (True, Just (a <= b),              a   -   b)
decodeALU 6 = \a b -> return (True, Just False,                 a `xor` b)
decodeALU 7 = \a b -> return (False,Just (a <= b),              a   -   b)
opIncDec :: Width w => w -> w -> MonadCPU s (Bool,Maybe Bool,w)
opIncDec    = \a b -> return (True, Nothing,                    a   +   b)

-- Main iteration: process one instuction
-- That's the rest of the meat, but that part's expected.
processInstr = do
  opcode <- readInstr8
  regs <- regs <$> ask
  let zReg = (regs,decodeReg16 (opcode .&. 0x07))
  if opcode < 0x40 then -- no segment or BCD
    let aluOp = (opcode .&. 0x38) `shiftR` 3 in case opcode .&. 0x07 of
    0 -> do
      (operand,reg,_) <- readModRM
      alu byte (readW operand) (readDecodedReg8 reg) operand (decodeALU aluOp)
    1 -> do
      (operand,reg,_) <- readModRM
      alu word (readW operand) (readDecodedReg16 reg) operand (decodeALU aluOp)
    4 -> alu byte (readReg regAl) readInstr8 (regs,regAl) (decodeALU aluOp)
  else case opcode .&. 0xF8 of -- 16-bit (mostly) reg ops
    0x40 -> alu word (readW zReg) (return   1 ) zReg opIncDec -- 16b INC
    0x48 -> alu word (readW zReg) (return (-1)) zReg opIncDec -- 16b DEC
    0x50 -> readW zReg >>= push16                       -- 16b PUSH reg
    0x58 -> pop16 >>= writeW zReg                       -- 16b POP reg
    0x90 -> do v1 <- readW zReg                         -- 16b XCHG (or NOP)
               v2 <- readReg regAx
               writeW zReg (v2 :: Word16)
               writeReg regAx (v1 :: Word16)
    0xB0 -> readInstr8  >>= writeW zReg -- (BUG!)       -- 8b MOV reg,imm
    0xB8 -> readInstr16 >>= writeW zReg                 -- 16b MOV reg,imm
    _ -> case bool opcode 0x82 (opcode == 0x80) of
      0x72 -> jump       =<< readCpu cf                 -- JB/JNAE/JC
      0x74 -> jump       =<< readCpu zf                 -- JE/JZ
      0x75 -> jump . not =<< readCpu zf                 -- JNE/JNZ
      0x76 -> jump       =<< (||) <$> readCpu cf <*> readCpu zf -- JBE
      0x77 -> jump . not =<< (||) <$> readCpu cf <*> readCpu zf -- JA
      0x79 -> jump . not =<< readCpu sf                 -- JNS
      0x81 -> do                                        -- 16b arith to imm
        (operand,_,op) <- readModRM
        alu word (readW operand) readInstr16 operand (decodeALU op)
      0x82 -> do                                        -- 8b arith to imm
        (operand,_,op) <- readModRM
        alu byte (readW operand) readInstr8 operand (decodeALU op)
      0x83 -> do                                        -- 16b arith to 8s imm
        (operand,_,op) <- readModRM
        alu word (readW operand) (byteToWordSE <$> readInstr8) operand
            (decodeALU op)
      0x86 -> do                                        -- 8b XCHG reg,RM
        (operand,reg,_) <- readModRM
        v1 <- readDecodedReg8 reg
        v2 <- readW operand
        writeReg (decodeReg8 reg) (v2 :: Word8)
        writeW operand v1
      0x88 -> do                                        -- 8b MOV RM,reg
        (operand,reg,_) <- readModRM
        readDecodedReg8 reg >>= writeW operand
      0x89 -> do                                        -- 16b MOV RM,reg
        (operand,reg,_) <- readModRM
        readDecodedReg16 reg >>= writeW operand
      0x8A -> do                                        -- 8b MOV reg,RM
        (operand,reg,_) <- readModRM
        val <- readW operand
        writeReg (decodeReg8 reg) (val :: Word8)
      0x8B -> do                                        -- 16b MOV reg,RM
        (operand,reg,_) <- readModRM
        val <- readW operand
        writeReg (decodeReg16 reg) (val :: Word16)
      0xC3 -> pop16 >>= writeReg regIp                  -- RET
      0xC7 -> do (operand,_,_) <- readModRM             -- 16b MOV RM,imm
                 readInstr16 >>= writeW operand
      0xE8 -> readInstr16 >>= incrIP >>= push16         -- CALL relative
      0xEB -> jump True                                 -- JMP short
      0xF4 -> fail "Halting and Catching Fire"          -- HLT
      0xF9 -> writeCpu cf True                          -- STC
      0xFE -> do                                        -- 8-bit INC/DEC RM
        (operand,_,op) <- readModRM
        alu byte (readW operand) (return $ 1-2*op) operand
            (\a b -> return (True,Nothing,a+b)) -- kinda duplicate :(

------------------------------------------------------------

main = do
  rawRam <- (++ repeat 0) . B.unpack <$> B.getContents
  putStr $ unlines $ runST $ do
    cpu <- newCPU rawRam
    flip runReaderT cpu $ runMaybeT $ do
      writeReg regSp (0x100 :: Word16)
      forever processInstr

    -- Next three lines is the screen dump extraction.
    forM [0..25] $ \i -> forM [0..79] $ \j -> do
      c <- chr . fromIntegral <$> readArray (ram cpu) (0x8000 + 80*i + j)
      return $ bool ' ' c (isPrint c)

प्रदान किए गए नमूना बाइनरी के लिए आउटपुट विनिर्देश पूरी तरह से मेल खाता है। एक आह्वान का उपयोग करके इसे आजमाएँ:

runhaskell 8086.hs <8086.bin

अधिकांश गैर-कार्यान्वित संचालन केवल एक पैटर्न मिलान विफलता में परिणाम होंगे।

मैं अभी भी बहुत अधिक कारक का इरादा रखता हूं, और शाप के साथ वास्तविक लाइव आउटपुट को लागू करता हूं।

अपडेट 1: इसे 234 लाइनों के लिए मिला। कार्यक्षमता द्वारा कोड को बेहतर तरीके से व्यवस्थित किया गया, जो फिर से संरेखित किया जा सकता है, उसे 80 स्तंभों से चिपकाने की कोशिश की गई। और ALU को कई बार रिफलेक्ट किया।

अपडेट 2: यह पांच साल हो गया है, मुझे यह अनुमान लगाने के लिए एक अपडेट मिला है कि यह नवीनतम जीएचसी पर निर्दोष रूप से संकलित करने के लिए हो सकता है। जिस तरह से साथ:

  • लिफ्टम, लिफ्टएम 2 और ऐसे से छुटकारा मिला। मुझे प्यार है <$>और <*>प्रस्तावना में है।
  • Data.Bool और Data.ByteString, थोड़ा बचाता है और सफाई करता है।
  • आईपी ​​रजिस्टर विशेष (अनअड्रेसेबल) हुआ करता था, अब यह रजिस्टर फाइल में है। यह इतना 8086 समझ में नहीं आता है, लेकिन हे मैं एक गोल्फ खिलाड़ी हूँ।
  • अब यह सभी शुद्ध एसटी-आधारित कोड है। गोल्फ के दृष्टिकोण से, यह बेकार है, क्योंकि इसने बहुत सारे प्रकार के हस्ताक्षर आवश्यक कर दिए हैं। दूसरी ओर, मेरी अंतरात्मा के साथ एक पंक्ति थी और मैं हार गया, इसलिए अब आपको साफ, लंबा कोड मिल जाएगा।
  • तो अब यह git-tracked है।
  • अधिक गंभीर टिप्पणियां जोड़ी गईं। नतीजतन, जिस तरह से मैं लाइनों को गिनता हूं वह बदल गया है: मैं खाली और शुद्ध-टिप्पणी लाइनों को छोड़ रहा हूं। मैं यहां सभी पंक्तियों की गारंटी देता हूं लेकिन आयात 80 वर्णों से कम लंबे हैं। मैं टाइप सिग्नेचर नहीं छोड़ रहा हूं क्योंकि मैंने जो छोड़ा है, उसे वास्तव में ठीक से संकलित करने के लिए आवश्यक है (बहुत बहुत एसटी स्वच्छता धन्यवाद)।

जैसा कि कोड टिप्पणी कहती है, 5 लाइनें (डेटा.चर्चा आयात, 8-बिट रजिस्टर मैपिंग और स्क्रीन डंप) कल्पना से बाहर हैं, इसलिए यदि आप ऐसा महसूस करते हैं तो आप उन्हें छूट देने के लिए बहुत स्वागत करते हैं :-)


3
अच्छा है। यह वास्तव में छोटा है, विशेष रूप से मेरे समाधान और दूसरे की तुलना में। आपका कोड बहुत अच्छा लग रहा है, हालाँकि मुझे पहले हास्केल सीखने की जरूरत है।
कॉपी करें

3
अच्छा काम! बहुत छोटा। मुझे हैस्केल सीखना चाहिए।
जा

क्या है .|.? / 10char
सोहम चौधरी

@octatoan ऑपरेशन को x86 ओपकोड में OR के नाम से जाना जाता है।
जेबी

46

सी - 7143 लाइनें (सीपीयू खुद 3162 लाइनें)

संपादित करें: वर्चुअल डिस्क को बदलने के लिए विंडोज बिल्ड में अब ड्रॉप-डाउन मेनू है।

मैंने एक पूर्ण 80186 / V20 पीसी एमुलेटर (CGA / MCGA / VGA, ध्वनि विस्फ़ोटक, adlib, माउस, आदि) के साथ लिखा है, यह किसी भी तरह से 8086 का अनुकरण करने के लिए एक तुच्छ बात नहीं है। पूरी तरह से सटीक होने में कई महीने लग गए। यहाँ सीपीयू मॉड्यूल केवल मेरे एमुलेटर से बाहर है।

http://sourceforge.net/p/fake86/code/ci/master/tree/src/fake86/cpu.c

मैं पहली बार मानता हूं कि मैं इस एमुलेटर में बहुत सारे वैश्विक चर का उपयोग करता हूं। मैंने यह लिखना तब शुरू किया जब मैं अभी भी C के लिए बहुत नया था, और यह दिखाता है। मुझे इन दिनों में से कुछ को साफ करने की आवश्यकता है। अधिकांश अन्य स्रोत फ़ाइलें इसमें इतनी बदसूरत नहीं लगती हैं।

आप यहाँ के माध्यम से सभी कोड (और कुछ स्क्रीनशॉट, एक नीचे है) देख सकते हैं: http://sourceforge.net/p/fou86

मुझे किसी और की मदद करने में बहुत खुशी होगी जो खुद लिखना चाहता है, क्योंकि यह बहुत मजेदार है, और आप सीपीयू के बारे में बहुत कुछ सीखते हैं! अस्वीकरण: मैंने V20 के 8080 एमुलेशन को नहीं जोड़ा है क्योंकि इसके पीसी प्रोग्राम में इसका उपयोग कभी नहीं किया गया है। बिना किसी लाभ के बहुत काम की तरह लगता है।

स्ट्रीट फाइटर 2!


3
बहुत बढ़िया! क्या खेल वास्तव में पूरी गति से चलते हैं?
कॉपी

1
धन्यवाद। हाँ, यह 8088 की तुलना में कई गुना तेज है। आधुनिक प्रणाली में यह 486 जैसी गति कर सकता है। एक वास्तविक अच्छे प्रोसेसर पर, यह कम-अंत वाले पेंटियम जैसा है। दुर्भाग्य से एक सीपीयू का अनुकरण वास्तव में नहीं किया जा सकता है। मैं यह सब खुद में यद्यपि प्रदान वीडियो प्रतिपादन करते हैं। मैंने इसे अपने पुराने 400 मेगाहर्ट्ज पॉवेपीसी जी 3 पर भी चलाया है, इस पर यह सच है कि यह 8088 की स्पीड पर है।
माइक सी।

1
बहुत बढ़िया! मैं अधिक से अधिक कोड और विभाजन को लागू करना चाहता था; हालाँकि, इस पर चलने के लिए बहुत सारे परीक्षण कार्यक्रम नहीं मिल पाए थे। क्या आपने पुराने रोम डाउनलोड किए?
डेव सी।

1
डेव, नहीं, वास्तव में 8086 परीक्षण रोम का गंभीर अभाव है, आश्चर्य की बात यह है कि आपको भी पता चला है। जिस तरह से मैं इसके बारे में गया था वह सिर्फ एक सामान्य एक्सटी BIOS रॉम को सही ढंग से चलाने से शुरू करना था। यदि यह बहुत काम करता है, तो आपका विभाजन ठीक है। उसके बाद, यह डीबग करना शुरू कर दिया गया था जब तक कि डॉस ने काम करना शुरू नहीं किया ... फिर ऐप्स और गेम पर! :)
माइक सी।

1
@ माइक मैं कुछ शुरुआती मदद या संकेत चाहूंगा! (पुन इरादा: पी)। मैं कई सालों से एक डेस्कटॉप और वेब ऐप डेवलपर हूं और धीरे-धीरे मैं एक ऐसे बिंदु पर पहुंच गया हूं जहां मेरे पास लिनक्स स्रोत कोड है। मैं आमतौर पर समझता हूं कि एक ओएस फ़ंक्शन के कितने टुकड़े हैं और मैं छोटे खिलौना ओएस प्रोजेक्ट्स के साथ खेलने में सक्षम हूं। लेकिन प्रत्यक्ष हार्डवेयर के साथ बातचीत बस मुझे बाहर निकालता है!
गिदोन

41

परिशिष्ट भाग (130 200 367 517 531 222 246 लाइनें)

अभी भी एक काम में प्रगति, लेकिनमैं दूसरों के लिए प्रोत्साहित करने के प्रयास में कुछ कोड को दिखाने के लिए चाहता था कुछ कोड को दिखाने के

रजिस्टर सेट को एक स्ट्रिंग के रूप में दर्शाया गया है, इसलिए विभिन्न बाइट- और शब्द-आकार के रजिस्टरों को स्वाभाविक रूप से सबस्ट्रिंग के संदर्भ में ओवरलैप किया जा सकता है। सबस्ट्रिंग को पॉइंटर्स के रूप में उपयोग किया जाता है, ताकि एक रजिस्टर और एक मेमोरी लोकेशन (मेमोरी स्ट्रिंग का विकल्प) ऑपरेटर कार्यों में समान रूप से व्यवहार किया जा सके।

फिर मेमोरी [मेमरी], मेम [(आईपी) (इंक्रीमेंटिंग आईपी) से एक "पॉइंटर" से डेटा (बाइट या शब्द) प्राप्त करने और संग्रहीत करने के लिए कुछ मुट्ठी भर शब्द हैं। फिर MOD-REG-R / M बाइट लाने और REG और R / M और MOD वैरिएबल सेट करने के लिए कुछ फ़ंक्शंस हैं, और टेबल्स का उपयोग करके उन्हें डीकोड करें। फिर ऑपरेटर कार्य करता है, ऑपकोड बाइट की कुंजी है। तो निष्पादन पाश बस है fetchb load exec

मैंने केवल एक मुट्ठी भर ऑपकोड लागू किया है, लेकिन जीऑपरेंड डिकोडिंग होने से ऐसा मील का पत्थर महसूस होता था जिसे मैं इसे साझा करना चाहता था।

संपादित करें: नकारात्मक संख्याओं पर हस्ताक्षर करने-बढ़ाने के लिए शब्द जोड़े गए। अधिक opcodes। रजिस्टर असाइनमेंट में बगफिक्स। टिप्पणियाँ। अभी भी झंडे पर काम कर रहे हैं और ऑपरेटरों को भर रहे हैं। आउटपुट कुछ विकल्प प्रस्तुत करता है: समाप्ति पर स्टडआउट करने के लिए आउटपुट टेक्स्ट, vt100 कोड का उपयोग करके लगातार आउटपुट, सीपीयू 37 फ़ॉन्ट का उपयोग करके छवि विंडो में आउटपुट।

संपादित करें: लेखन समाप्त, डीबग करना शुरू किया। यह आउटपुट के पहले चार डॉट्स प्राप्त करता है! फिर कैरी गलत हो जाता है। स्लीपी।

संपादित करें: मुझे लगता है कि मुझे कैरी फ्लैग मिला है। कुछ कहानी comp.lang.postscript पर हुई । मैंने कुछ डिबगिंग तंत्र जोड़ा है, और आउटपुट ग्राफिक्स विंडो में जाता है (मेरे पहले से लिखे गए कोड-पृष्ठ 437 टाइप -3 फ़ॉन्ट का उपयोग करके ), इसलिए टेक्स्ट आउटपुट ट्रैस और डंप से भरा हो सकता है। यह लिखता है "हैलो वर्ल्ड!" और फिर उस संदिग्ध देखभाल है। फिर एक पूरा लोटपोट नथिन। ' :( हम वहाँ पहुँचेंगे। सभी प्रोत्साहन के लिए धन्यवाद!

संपादित करें: परीक्षण को पूरा करने के लिए चलाता है। अंतिम कुछ बग थे: XCHG 2 {read store} करना जो एक्सचेंजों के बजाय पाठ्यक्रम प्रतियों को दोहराते हैं, और झंडे स्थापित नहीं करते हैं, (FE) INC एक बाइट पॉइंटर से एक शब्द प्राप्त करने की कोशिश कर रहा है।

संपादित करें: मैनुअल से संक्षिप्त तालिका का उपयोग करके खरोंच से कुल फिर से लिखना ( एक नया पृष्ठ बदल गया! )। मैं यह सोचना शुरू कर रहा हूं कि ऑपकोड से स्टोर को बाहर करना एक बुरा विचार था, लेकिन इसने ऑप्टब को सुंदर बनाए रखने में मदद की। इस बार कोई स्क्रीनशॉट नहीं। मैंने वीडियो मेमोरी को डंप करने के लिए एक निर्देश काउंटर और एक मॉड-ट्रिगर जोड़ा है, इसलिए यह डिबग जानकारी के साथ आसानी से इंटरलेक्ट करता है।

संपादित करें: परीक्षण कार्यक्रम चलाता है, फिर से! छोटी फिर से लिखने के लिए अंतिम कुछ कीड़े opcodes 83 ("तत्काल" समूह) और EB (लघु JMP) में तत्काल बाइट पर हस्ताक्षर करने-बढ़ाने के लिए उपेक्षा कर रहे थे। 24-लाइन वृद्धि उन अंतिम बग्स को ट्रैक करने के लिए आवश्यक अतिरिक्त डीबगिंग रूटीन को कवर करती है।

%!
%a8086.ps Draught2:BREVITY
[/NULL<0000>/nul 0
/mem 16#ffff string %16-bit memory
/CF 0 /OF 0 /AF 0 /ZF 0 /SF 0
/regs 20 string >>begin %register byte storage
0{AL AH CL CH DL DH BL BH}{regs 2 index 1 getinterval def 1 add}forall pop
0{AX CX DX BX SP BP SI DI IP FL}{regs 2 index 2 getinterval def 2 add}forall pop

%getting and fetching
[/*b{0 get} %get byte from pointer
/*w{dup *b exch 1 get bbw} %get word from pointer
/*{{*b *w}W get exec} %get data(W) from pointer
/bbw{8 bitshift add} %lo-byte hi-byte -> word
/shiftmask{2 copy neg bitshift 3 1 roll 1 exch bitshift 1 sub and}
/fetchb{IP *w mem exch get bytedump   IP dup *w 1 add storew} % byte(IP++)
/fetchw{fetchb fetchb bbw} % word(IP),IP+=2

%storing and accessing
/storeb{16#ff and 0 exch put} % ptr val8 -> -
/storew{2 copy storeb -8 bitshift 16#ff and 1 exch put} % ptr val16 -> -
/stor{{storeb storew}W get exec} % ptr val(W) -> -
/memptr{16#ffff and mem exch {1 2}W get getinterval} % addr -> ptr(W)

%decoding the mod-reg-reg/mem byte
/mrm{fetchb 3 shiftmask /RM exch def 3 shiftmask /REG exch def /MOD exch def}
/REGTAB[[AL CL DL BL AH CH DH BH][AX CX DX BX SP BP SI DI]]
/decreg{REGTAB W get REG get} % REGTAB[W][REG]
%2 indexes,   with immed byte,   with immed word
/2*w{exch *w exch *w add}/fba{fetchb add}/fwa{fetchw add}
/RMTAB[[{BX SI 2*w}{BX DI 2*w}{BP SI 2*w}{BP DI 2*w}
    {SI *w}{DI *w}{fetchw}{BX *w}]
[{BX SI 2*w fba}{BX DI 2*w fba}{BP SI 2*w fba}{BP DI 2*w fba}
    {SI *w fba}{DI *w fba}{BP *w fba}{BX *w fba}]
[{BX SI 2*w fwa}{BX DI 2*w fwa}{BP SI 2*w fwa}{BP DI 2*w fwa}
    {SI *w fwa}{DI *w fwa}{BP *w fwa}{BX *w fwa}]]
/decrm{MOD 3 eq{REGTAB W get RM get} %MOD=3:register mode
    {RMTAB MOD get RM get exec memptr}ifelse} % RMTAB[MOD][RM] -> addr -> ptr

%setting and storing flags
/flagw{OF 11 bitshift SF 7 bitshift or ZF 6 bitshift or AF 4 bitshift CF or}
/wflag{dup 1 and /CF exch def dup -4 bitshift 1 and /AF exch def
    dup -6 bitshift 1 and /ZF exch def dup -7 bitshift 1 and /SF exch def
    dup -11 bitshift 1 and /OF exch def}
/nz1{0 ne{1}{0}ifelse}
/logflags{/CF 0 def /OF 0 def /AF 0 def %clear mathflags
    dup {16#80 16#8000}W get and nz1 /SF exch def
    dup {16#ff 16#ffff}W get and 0 eq{1}{0}ifelse /ZF exch def}
/mathflags{{z y x}{exch def}forall
    /CF z {16#ff00 16#ffff0000}W get and nz1 def
    /OF z x xor z y xor and {16#80 16#8000}W get and nz1 def
    /AF x y xor z xor 16#10 and nz1 def
    z} %leave the result on stack

%opcodes (each followed by 'stor')  %% { OPTAB fetchb get exec stor } loop
/ADD{2 copy add logflags mathflags}
/OR{or logflags}
/ADC{CF add ADD}
/SBB{D 1 xor {exch}repeat CF add 2 copy sub logflags mathflags}
/AND{and logflags}
/SUB{D 1 xor {exch}repeat 2 copy sub logflags mathflags}
/XOR{xor logflags}
/CMP{3 2 roll pop NULL 3 1 roll SUB} %dummy stor target
/INC{t CF exch dup * 1 ADD 3 2 roll /CF exch def}
/DEC{t CF exch dup * 1 SUB 3 2 roll /CF exch def}
/PUSH{SP dup *w 2 sub storew   *w SP *w memptr exch}
/POP{SP *w memptr *w   SP dup *w 2 add storew}

/jrel{w {CBW IP *w add IP exch}{NULL exch}ifelse}
/JO{fetchb OF 1 eq jrel }
/JNO{fetchb OF 0 eq jrel }
/JB{fetchb CF 1 eq jrel }
/JNB{fetchb CF 0 eq jrel }
/JZ{fetchb ZF 1 eq jrel }
/JNZ{fetchb ZF 0 eq jrel }
/JBE{fetchb CF ZF or 1 eq jrel }
/JNBE{fetchb CF ZF or 0 eq jrel }
/JS{fetchb SF 1 eq jrel }
/JNS{fetchb SF 0 eq jrel }
/JL{fetchb SF OF xor 1 eq jrel }
/JNL{fetchb SF OF xor 0 eq jrel }
/JLE{fetchb SF OF xor ZF or 1 eq jrel }
/JNLE{fetchb SF OF xor ZF or 0 eq jrel }

/bw{dup 16#80 and 0 ne{16#ff xor 1 add 16#ffff xor 1 add}if}
/IMMTAB{ADD OR ADC SBB AND SUB XOR CMP }cvlit
/immed{ W 2 eq{ /W 1 def
            mrm decrm dup * fetchb bw
    }{ mrm decrm dup * {fetchb fetchw}W get exec }ifelse
    exch IMMTAB REG get dup == exec }

%/TEST{ }
/XCHG{3 2 roll pop 2 copy exch * 4 2 roll * stor }
/AXCH{w dup AX XCHG }
/NOP{ NULL nul }
/pMOV{D{exch}repeat pop }
/mMOV{ 3 1 roll pop pop }
/MOV{ }
/LEA{w mrm decreg RMTAB MOD get RM get exec }

/CBW{dup 16#80 and 0 ne {16#ff xor 1 add 16#ffff xor 1 add } if }
/CWD{dup 16#8000 and 0 ne {16#ffff xor 1 add neg } if }
/CALL{w xp /xp{}def fetchw IP PUSH storew IP dup *w 3 2 roll add dsp /dsp{}def }
%/WAIT{ }
/PUSHF{NULL dup flagw storew 2 copy PUSH }
/POPF{NULL dup POP *w wflag }
%/SAHF{ }
%/LAHF{ }

%/MOVS{ }
%/CMPS{ }
%/STOS{ }
%/LODS{ }
%/SCAS{ }
/RET{w IP POP storew SP dup * 3 2 roll add }
%/LES{ }
%/LDS{ }

/JMP{IP dup fetchw exch *w add}
/sJMP{IP dup fetchb bw exch *w add}

/HLT{exit}
/CMC{/CF CF 1 xor def NULL nul}
/CLC{/CF 0 def NULL nul}
/STC{/CF 1 def NULL nul}

/NOT{not logflags }
/NEG{neg logflags }
/GRP1TAB{TEST --- NOT NEG MUL IMUL DIV IDIV } cvlit
/Grp1{mrm decrm dup * GRP1TAB REG get
dup ==
exec }
/GRP2TAB{INC DEC {id CALL}{l id CALL}{id JMP}{l id JMP} PUSH --- } cvlit
/Grp2{mrm decrm GRP2TAB REG get
dup ==
exec }

%optab shortcuts
/2*{exch * exch *}
/rm{mrm decreg decrm D index 3 1 roll 2*} % fetch,decode mrm -> dest *reg *r-m
/rmp{mrm decreg decrm D index 3 1 roll} % fetch,decode mrm -> dest reg r-m
/ia{ {{AL dup *b fetchb}{AX dup *w fetchw}}W get exec } %immed to accumulator
/is{/W 2 def}
/b{/W 0 def} %select byte operation
/w{/W 1 def} %select word operation
/t{/D 1 def} %dest = reg
/f{/D 0 def} %dest = r/m
/xp{} /dsp{}
%/far{ /xp { <0000> PUSH storew } /dsp { fetchw pop } def }
/i{ {fetchb fetchw}W get exec }

/OPTAB{
{b f rm ADD}{w f rm ADD}{b t rm ADD}{w t rm ADD}{b ia ADD}{w ia ADD}{ES PUSH}{ES POP} %00-07
 {b f rm OR}{w f rm OR}{b t rm OR}{w t rm OR}{b ia OR}{w ia OR}{CS PUSH}{}            %08-0F
{b f rm ADC}{w f rm ADC}{b t rm ADC}{w t rm ADC}{b ia ADC}{w ia ADC}{SS PUSH}{SS POP} %10-17
 {b f rm SBB}{w f rm SBB}{b t rm SBB}{w t rm SBB}{b ia SBB}{w ia SBB}{DS PUSH}{DS POP}%18-1F
{b f rm AND}{w f rm AND}{b t rm AND}{w t rm AND}{b ia AND}{w ia AND}{ES SEG}{DAA}     %20-27
 {b f rm SUB}{w f rm SUB}{b t rm SUB}{w t rm SUB}{b ia SUB}{w ia SUB}{CS SEG}{DAS}    %28-2F
{b f rm XOR}{w f rm XOR}{b t rm XOR}{w t rm XOR}{b ia XOR}{w ia XOR}{SS SEG}{AAA}     %30-37
 {b f rm CMP}{w f rm CMP}{b t rm CMP}{w t rm CMP}{b ia CMP}{w ia CMP}{DS SEG}{AAS}    %38-3F
{w AX INC}{w CX INC}{w DX INC}{w BX INC}{w SP INC}{w BP INC}{w SI INC}{w DI INC}      %40-47
 {w AX DEC}{w CX DEC}{w DX DEC}{w BX DEC}{w SP DEC}{w BP DEC}{w SI DEC}{w DI DEC}     %48-4F
{AX PUSH}{CX PUSH}{DX PUSH}{BX PUSH}{SP PUSH}{BP PUSH}{SI PUSH}{DI PUSH}              %50-57
 {AX POP}{CX POP}{DX POP}{BX POP}{SP POP}{BP POP}{SI POP}{DI POP}                     %58-5F
{}{}{}{}{}{}{}{}  {}{}{}{}{}{}{}{}                                                    %60-6F
{JO}{JNO}{JB}{JNB}{JZ}{JNZ}{JBE}{JNBE} {JS}{JNS}{JP}{JNP}{JL}{JNL}{JLE}{JNLE}         %70-7F

{b f immed}{w f immed}{b f immed}{is f immed}{b TEST}{w TEST}{b rmp XCHG}{w rmp XCHG}   %80-87
 {b f rm pMOV}{w f rm pMOV}{b t rm pMOV}{w t rm pMOV}                                 %88-8B
   {sr f rm pMOV}{LEA}{sr t rm pMOV}{w mrm decrm POP}                                 %8C-8F
{NOP}{CX AXCH}{DX AXCH}{BX AXCHG}{SP AXCH}{BP AXCH}{SI AXCH}{DI AXCH}             %90-97
 {CBW}{CWD}{far CALL}{WAIT}{PUSHF}{POPF}{SAHF}{LAHF}                                  %98-9F
{b AL m MOV}{w AX m MOV}{b m AL MOV}{b AX m MOV}{MOVS}{MOVS}{CMPS}{CMPS}              %A0-A7
 {b i a TEST}{w i a TEST}{STOS}{STOS}{LODS}{LODS}{SCAS}{SCAS}                         %A8-AF
{b AL i MOV}{b CL i MOV}{b DL i MOV}{b BL i MOV}                                      %B0-B3
 {b AH i MOV}{b CH i MOV}{b DH i MOV}{b BH i MOV}                                     %B4-B7
 {w AX i MOV}{w CX i MOV}{w DX i MOV}{w BX i MOV}                                     %B8-BB
 {w SP i MOV}{w BP i MOV}{w SI i MOV}{w DI i MOV}                                     %BC-BF
{}{}{fetchw RET}{0 RET}{LES}{LDS}{b f rm i mMOV}{w f rm i mMOV}                       %C0-B7
 {}{}{fetchw RET}{0 RET}{3 INT}{fetchb INT}{INTO}{IRET}                               %C8-CF
{b Shift}{w Shift}{b v Shift}{w v Shift}{AAM}{AAD}{}{XLAT}                            %D0-D7
 {0 ESC}{1 ESC}{2 ESC}{3 ESC}{4 ESC}{5 ESC}{6 ESC}{7 ESC}                             %D8-DF
{LOOPNZ}{LOOPZ}{LOOP}{JCXZ}{b IN}{w IN}{b OUT}{w OUT}                                 %E0-E7
 {CALL}{JMP}{far JMP}{sJMP}{v b IN}{v w IN}{v b OUT}{v w OUT}                         %E8-EF
{LOCK}{}{REP}{z REP}{HLT}{CMC}{b Grp1}{w Grp}                                         %F0-F7
 {CLC}{STC}{CLI}{STI}{CLD}{STD}{b Grp2}{w Grp2}                                       %F8-FF
}cvlit

/break{ /hook /pause load def }
/c{ /hook {} def }
/doprompt{
    (\nbreak>)print
    flush(%lineedit)(r)file
    cvx {exec}stopped pop }
/pause{ doprompt }
/hook{}

/stdout(%stdout)(w)file
/bytedump{ <00> dup 0 3 index put stdout exch writehexstring ( )print }
/regdump{ REGTAB 1 get{ stdout exch writehexstring ( )print }forall
    stdout IP writehexstring ( )print
    {(NC )(CA )}CF get print
    {(NO )(OV )}OF get print
    {(NS )(SN )}SF get print
    {(NZ )(ZR )}ZF get print
    stdout 16#1d3 w memptr writehexstring
    (\n)print
}
/mainloop{{
    %regdump
    OPTAB fetchb get
    dup ==
    exec
    %pstack flush
    %hook
    stor
    /ic ic 1 add def ictime
}loop}

/printvideo{
    0 1 28 {
        80 mul 16#8000 add mem exch 80 getinterval {
            dup 0 eq { pop 32 } if
                    dup 32 lt 1 index 126 gt or { pop 46 } if
            stdout exch write
        } forall (\n)print
    } for
    (\n)print
}
/ic 0
/ictime{ic 10 mod 0 eq {onq} if}
/timeq 10
/onq{ %printvideo
}
>>begin
currentdict{dup type/arraytype eq 1 index xcheck and
    {bind def}{pop pop}ifelse}forall

SP 16#100 storew
(codegolf.8086)(r)file mem readstring pop
pop[

mainloop
printvideo

%eof

और आउटपुट (संक्षिप्त डिबगिंग आउटपुट के टेल-एंड के साथ)।

75 {JNZ}
19 43 {w BX INC}
83 {is f immed}
fb 64 CMP
76 {JBE}
da f4 {HLT}
.........
Hello, world!
0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~


################################################################################
##                                                                            ##
##  0 1 1 2 3 5 8 13 21 34 55 89 144 233 377 610 987                          ##
##                                                                            ##
##  0 1 4 9 16 25 36 49 64 81 100 121 144 169 196 225 256 289 324 361 400     ##
##                                                                            ##
##  2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97    ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
################################################################################





GS<1>

5
मुझे आश्चर्य है ... क्या एक आवेदन alt-F4 को बंद करने के लिए गर्म-कुंजी है क्योंकि F4h 8086 HLT opcode है?
लुसर ने

5
मैं आपको केवल यह बताना चाहता हूं कि आप इसे पोस्टस्क्रिप्ट में लागू करने के लिए बिल्कुल बढ़िया हैं।
cemper93

1
वह कोड छोटा है । यह अधिक उत्थान के योग्य है। मेरा है, एक शुरुआत के लिए।
जेबी

2
रुको ... पोस्टस्क्रिप्ट एक प्रोग्रामिंग भाषा है ?! ;)
n611x007

32

जावास्क्रिप्ट

मैं जावास्क्रिप्ट से प्रेरित जावास्क्रिप्ट में 486 एमुलेटर लिख रहा हूं। अगर मुझे पता होता कि यह कितना काम करता है, तो शायद मैं कभी शुरू नहीं होता, लेकिन अब मैं इसे खत्म करना चाहता हूं।

तब मैं आपकी चुनौती पर आया था और 8086 के कार्यक्रम को लेकर बहुत खुश था।

http://i.stack.imgur.com/54a6S.png

आप इसे यहाँ "लाइव" देख सकते हैं: http://codinguncut.com/jsmachine/

ग्राफिक्स बफर को प्रिंट करते समय मेरे पास एक मुद्दा था। जहां रिक्त स्थान होना चाहिए, स्मृति में "00" तत्व शामिल हैं। क्या "0x00" को अंतरिक्ष के रूप में व्याख्या करना सही है या क्या मुझे अपने एमुलेटर में बग है?

चीयर्स,

जोहानिस


दिलचस्प है, मैं वास्तव में आपके नाम को आपके स्क्रेन्कोस्ट्स से जानता हूं, जिसे मैंने इस चुनौती में हास्केल की प्रतिक्रिया के बाद देखा था (और मैंने जावास्क्रिप्ट में x86 एमुलेटर भी शुरू किया था)। हां, शून्य बाइट्स को रिक्त स्थान के रूप में दिखाई देना चाहिए। मैंने आपकी पोस्ट में स्क्रीनशॉट भी जोड़ दिया है। +1 फिर भी :-)
कॉपी करें

@ जोहान्स मैं mycpu-min.js कोड के माध्यम से एक त्वरित नज़र था। मैं आपको जो बता सकता हूं, उसने cpux86.js (FB के jslinux) से केवल कुछ विचारों का उपयोग किया है। बधाई! एक अच्छा काम। कहीं भी गैर-संकलित mycpu.js देखने का कोई मौका? उम्मीद है कि github.com/codinguncut
Yauhen Yakimovich

@YauhenYakimovich नहीं, मैंने किसी भी jslinux कोड का पुन: उपयोग नहीं किया है। मैंने अब तक सभी 286 निर्देशों के माइनस पेजिंग और सेगमेंटेशन (एमएमयू) को लागू किया है। मेरी योजना जीपीएल के तहत कोड जारी करने की थी, लेकिन मैं वास्तव में चलाने के लिए विचार करना चाहता हूं अर्थात फ्रीडोस या रिएक्टोस ताकि मैं अभी भी लाइसेंस के बारे में अनिश्चित हूं। सच्चाई यह है कि, मुझे पूर्ण मेमोरी mgmt को लागू करने में एक लंबा समय लगेगा। और फिर इसे गति से चलाने के लिए एक लंबा समय। मैं निश्चित रूप से github.com/codinguncut पर साझा करूंगा। आपकी प्रतिक्रिया के लिए धन्यवाद, जोहान्स
फ़्लूक्विड

1
मेरे लिए लिंक टूट गया है। (विंडोज़ 8 पर IE)
कॉनर ओ'ब्रायन

यह बहुत देर से आता है। चरित्र शून्य वीडियो रैम में एक और स्थान है।
जोशुआ

30

सी ++

मैं इस कोड चुनौती के लिए हमारी प्रविष्टि सबमिट करना चाहता हूं। यह सी ++ में लिखा गया था और पूरी तरह से परीक्षण कार्यक्रम चलाता है। हमने एक बाइट ओप कोड और बेसिक सेगमेंटेशन के 90% को लागू किया है (कुछ अक्षम हैं क्योंकि यह परीक्षण कार्यक्रम के साथ काम नहीं करता है)।

कार्यक्रम लिखें: http://davecarruth.com/index.php/2012/04/15/creating-an-8086-emulator

आप ब्लॉग पोस्ट के अंत में एक ज़िप फ़ाइल में कोड पा सकते हैं।

स्क्रीनशॉट का निष्पादन परीक्षण कार्यक्रम: यहां छवि विवरण दर्ज करें

इसमें काफी समय लगा ... अगर आपका कोई सवाल या टिप्पणी है तो मुझे बेझिझक मैसेज करें। यह निश्चित रूप से साथी प्रोग्रामिंग में एक महान अभ्यास था।


3
यह हमेशा अच्छा होता है जब लोग इस चुनौती पर मज़े करते हैं :) बस एक-दो नोट: मेरे परीक्षण कार्यक्रम को काम करना चाहिए (और परीक्षण किया गया था) सभी खंडों के साथ शून्य किया गया। आपके कुछ कोड को देखते हुए, मैंने देखा कि ret immनिर्देश गलत है ( यहाँ देखें ) और आप 0xffसमूह को याद कर रहे हैं । मुझे आपके त्रुटि संदेश पसंद हैं: फेंक "तत्काल मूल्य एक मूल्य, मंदता को संग्रहीत नहीं कर सकता है।"
कॉपी करें

हमारे पास परीक्षण कार्यक्रम के साथ दो मुख्य मुद्दे थे: 1) विभाजन - जब एक कॉल होता है तो हम सीएस को स्टैक पर धकेल रहे थे ... परीक्षण कार्यक्रम में कोई भी कार्य ऐसा नहीं था। 2) परीक्षण कार्यक्रम से उम्मीद की जा रही है कि हमारी मेमोरी शून्य से आरंभ की जाएगी। वैसे भी, हमें बहुत मज़ा आया, पोस्ट करने के लिए बहुत बहुत धन्यवाद!
डेव सी

आप एक गलती की हो सकता है पास कूदता ( 0xE8 ) धक्का नहीं है csरजिस्टर
कॉपी

यही समस्या होगी, अच्छी पकड़! आप 8086 के साथ बहुत अनुभवी लगते हैं, क्या आपने इसके लिए कार्यक्रम किया है?
डेव सी

1
मैं वास्तव में x86 एमुलेटर प्रोजेक्ट पर खुद काम कर रहा हूं। यह फ्रीडोस काफी अच्छी तरह से चल रहा है और मैं वर्तमान में पूर्ण 32 बिट समर्थन पर काम कर रहा हूं; बस यहाँ पोस्ट नहीं किया है क्योंकि यह अन्य पोस्टरों के लिए उचित नहीं हो सकता है (और स्रोत कोड थोड़ा गड़बड़ है)।
कॉपी

28

सी

ग्रेट चैलेंज और मेरा पहला। मैंने सिर्फ एक खाता बनाया क्योंकि चुनौती ने मुझे बहुत परेशान किया। नीचे की ओर यह है कि मैं चुनौती के बारे में सोचना बंद नहीं कर सकता था जब मुझे असली, भुगतान करना, प्रोग्रामिंग काम करना था।

मुझे लगता है कि एक पूर्ण 8086 अनुकरण चल रहा है, लेकिन यह एक और चुनौती है ;-)

कोड ANSI-C में लिखा गया है, इसलिए .c फ़ाइलों को एक साथ संकलित / लिंक करें, कोडगोल्फ बाइनरी में पास करें, और जाएं।

स्रोत ज़िपित

यहां छवि विवरण दर्ज करें


अच्छी नौकरी RichTX!
डेव सी

धन्यवाद डेव। आप भी। जब मैंने शुरू किया तो मुझे कोड को जितना संभव हो उतना छोटा बनाने की उम्मीद नहीं थी, लेकिन यह अभी भी एक चुनौती थी।
रिचटैक्स

+1 मैं आपके कोड को देखकर पता लगाता हूं कि कैरी फ्लैग कैसे काम करता है।
लूसर ड्रॉग

मेरे लिए लिंक नीचे है।
टायिलो

25

सी ++ 1064 लाइनें

शानदार प्रोजेक्ट। मैंने कई साल पहले एक Intellivision एमुलेटर किया था, इसलिए मेरी बिट-बैंगिंग मांसपेशियों को फिर से फ्लेक्स करना बहुत अच्छा था।

लगभग एक सप्ताह के काम के बाद, मैं ऐसा होने पर अधिक उत्साहित नहीं हो सकता था:

.........
╤╤╤╤╤╤╤╤╤╤╤╤╤╤
? 0123456789: @ ABCDEFGHIJKLMNOPQRSTUVWXYZ [\] ^ _ `abcdefghijklmnopqrstuvwxyz {|} ~


################################################## ##############################
################################################## ######################
    0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

    ० 1 ४ ९ 1 ♣ b 4 cd ♦ f ☺h `§☺b, ♠ d E f` ♠ i ♠ ♠ b ♠ b ♠ e Y h ↑ b = =f `

    2 3 4 5 6 7 8 9 a 4a ♦a ♥ a ♠ a 5 a 7 a a ab ☻b ☺b ♥ b ♣ b ♠ b b b bb b
 c ♣c ♥c ♥ c ☺ c ♠ c cc cd ☺d ♥ ☻d ♥ d d d ♠ d d d ☻e ee ♥ ☻ e ♠ e ♠ e e
 ee ef ♦f ☻f ♥ f ♣ f ♠ f f f ff fg ☻g ♦g ♥ g ♥ g ♥ g ♠ gggh ☻h ☺h ♥
एच ♣ एच ♦ एच ♠ एच एच एच हाय ☻i ☻i ♥ मैं ♣ मैं ♣ मैं ♣ मैं ♣ ii

थोड़ी डिबगिंग बाद में और ... शाज़ाम! यहां छवि विवरण दर्ज करें

इसके अलावा, मैंने 80386 एक्सटेंशन के बिना मूल परीक्षण कार्यक्रम को फिर से बनाया, क्योंकि मैं अपने एमुलेटर को 8086 के लिए सच बनाना चाहता था और किसी भी अतिरिक्त निर्देशों में नहीं ठगना चाहता था। यहाँ कोड के लिए सीधा लिंक: ज़िप फ़ाइल

ठीक है मुझे इसके साथ बहुत मज़ा आ रहा है। मैंने मेमोरी और स्क्रीन प्रबंधन को तोड़ दिया, और अब स्क्रीन बफर के लिखे जाने पर स्क्रीन अपडेट होती है। मैंने एक वीडियो बनाया :)

http://www.youtube.com/watch?v=qnAssaTpmnA

अपडेट: सेगमेंटिंग का पहला पास अंदर है। बहुत कम निर्देश वास्तव में लागू होते हैं, लेकिन मैंने इसे सीएस / डीएस और एसएस के चारों ओर ले जाकर परीक्षण किया, और सब कुछ अभी भी ठीक चलता है।

इसके अलावा अल्पविकसित व्यवधान से निपटने को जोड़ा गया। बहुत ही अल्पविकसित। लेकिन मैंने स्ट्रिंग प्रिंट करने के लिए int 21h लागू किया। परीक्षण स्रोत में कुछ पंक्तियों को जोड़ा और उसे भी अपलोड किया।

start:
    sti
    mov ah, 9
    mov dx, joetext
    int 21h
...

joetext:
    db 'This was printed by int 21h$', 0

यहां छवि विवरण दर्ज करें

अगर किसी के पास कुछ सरल विधानसभा कोड हैं जो खंडों का परीक्षण करेंगे, तो मैं इसके साथ खेलना पसंद करूंगा।

मैं यह पता लगाने की कोशिश कर रहा हूं कि मैं इसे कितनी दूर ले जाना चाहता हूं। पूर्ण CPU अनुकरण? वीजीए मोड? अब मैं DOSBox लिख रहा हूं।

12/6: इसे देखें, वीजीए मोड!

यहां छवि विवरण दर्ज करें


कोई भी मौका जो आप अपने कोड को एक मुफ्त साइट पर पोस्ट कर सकते हैं जिसे पंजीकरण की आवश्यकता नहीं है? धन्यवाद
डेव सी

D'oh मुझे यह महसूस नहीं हुआ कि इसे पंजीकरण की आवश्यकता है। उसके लिए माफ़ करना! जब मैं आज रात घर आऊंगा, तब मैं इसे करने की कोशिश करूंगा।
JoeFish

@DaveC, नवीनतम संपादन की जाँच करें।
जोफिश

मुझे आश्चर्य है कि वहाँ एक camelForth बंदरगाह है। यह खंडों का परीक्षण करेगा।
लूसर ड्रॉग

वह तो कमाल है! +1 फिर से। Btw, वहाँ है ऊंट आगे की एक 8086 बंदरगाह bradrodriguez.com/papers/index.html
लूसर ड्रॉग

25

सी ++ - 4455 लाइनें

और नहीं, मैंने सिर्फ सवाल नहीं किया। मैंने ENTIRE 8086 किया, जिसमें 16 कभी-पहले KNOWN opcodes शामिल थे। reenigne ने उन ऑपकोड्स का पता लगाने में मदद की।

https://github.com/Alegend45/IBM5150


4455-लाइन फ़ाइल कहाँ है? ओह, मैंने पाया। #include "cpu.h"देखने के लिए कठिन है।
लूज़र

2
(w) पवित्र स्विच स्टेटमेंट!
लूजर

हाँ, यह बहुत बुरा होने वाला है, क्योंकि मैं NEC V20 समर्थन को भी शामिल करने वाला हूं।
डेरियस गोआड

मैंने reenigne के ब्लॉग के माध्यम से देखा है । इन अतिरिक्त opcodes के बारे में कुछ भी नहीं मिल सकता है। क्या यह कहीं ऑनलाइन है?
लूजर

1
उन्होंने कुछ समय में अपने ब्लॉग को अपडेट नहीं किया है। वह EFNET पर # ibm5150 पर हैं, हालांकि, आप उनसे वहां पूछ सकते हैं।
डेरियस गोआद

20

जावास्क्रिप्ट - 4,404 लाइनें

मैं अपने स्वयं के एमुलेटर के लिए जानकारी शोध करते समय इस पद पर ठोकर खाई। यह कोडगोल्फ पोस्ट मेरे लिए बिल्कुल अमूल्य है। उदाहरण कार्यक्रम और संबंधित असेंबली ने आसानी से डीबग करना और यह देखना संभव किया कि क्या हो रहा था।

धन्यवाद!!!

और यहाँ मेरे जावास्क्रिप्ट 8086 एमुलेटर का पहला संस्करण है।

पूरा चला

विशेषताएं:

  • इस चुनौती के लिए सभी आवश्यक ऑपकोड और साथ ही कुछ अतिरिक्त जो पर्याप्त थे कि वे कोड करने में आसान थे
  • आंशिक रूप से कार्यात्मक पाठ मोड (80x25) वीडियो (अभी तक कोई व्यवधान नहीं)
  • कामकाज का ढेर
  • बेसिक (गैर-खंडित) मेमोरी
  • सुंदर सभ्य डिबगिंग (यह होगा)
  • कोड पेज 437 फ़ॉन्ट सेट बिटमैप प्रतिनिधित्व से गतिशील रूप से लोड होता है

डेमो

मेरे पास ऑनलाइन एक डेमो है, इसके साथ खेलने के लिए स्वतंत्र महसूस करें अगर आपको कीड़े मिलें तो मुझे बताएं :)

http://js86emu.chadrempp.com/

कोडगोल्फ कार्यक्रम चलाने के लिए

1) सेटिंग बटन पर क्लिक करें

यहां छवि विवरण दर्ज करें

2) फिर बस लोड पर क्लिक करें (आप यहां डिबग विकल्पों के साथ खेल सकते हैं, जैसे प्रोग्राम के माध्यम से कदम रखना)। कोडगॉल्फ कार्यक्रम केवल एक ही समय पर उपलब्ध है, मैं अधिक ऑनलाइन प्राप्त करने पर काम कर रहा हूं।

यहां छवि विवरण दर्ज करें

स्रोत

पूर्ण स्रोत यहाँ https://github.com/crempp/js86emu

मैंने यहां 8086 के अनुकरण की हिम्मत को दिखाने की कोशिश की (जैसा कि डॉर्कनोब द्वारा सुझाया गया है) लेकिन यह चरित्र की सीमा को पार कर गया ("शरीर 30000 वर्णों तक सीमित है; आपने 158,272 में प्रवेश किया")।

यहाँ मैं जिस कोड को पेस्ट करने जा रहा था, उसका एक त्वरित लिंक है - https://github.com/crempp/js86emu/blob/39dbcb7106a0aaf59e003cd7f722ac4b6923d87/src/js/emu/cpus/8086.js

*Edit - updated for new demo and repo location


अरे वाह! हालाँकि, यह आदर्श होगा यदि कोड आपके पोस्ट में ही था, क्योंकि हम अपनी पोस्ट को स्व-निहित होना पसंद करते हैं।
दरवाज़े

@ डॉर्कनोब, मुझे यकीन नहीं है कि मैं समझ गया हूं। आप मुझे पोस्ट इनलाइन की ४,४०० लाइनें पोस्ट करना चाहेंगे?
क्रेम्प

उम्म ... मुझे एहसास नहीं था कि यह बहुत लंबा था । क्या यह अधिकतम चरित्र सीमा के भीतर फिट बैठता है? यदि ऐसा है, तो हाँ, यह बहुत अच्छा होगा यदि आपकी पोस्ट स्व-निहित है। धन्यवाद! :-)
दरवाज़े

13

जावा

मैं इस चुनौती को इतने लंबे समय तक करना चाहता था, और आखिरकार मुझे ऐसा करने में समय लगा। यह अब तक एक अद्भुत अनुभव रहा है और मुझे इस बात पर गर्व है कि मैंने आखिरकार इसे पूरा कर लिया है।

टेस्ट प्रोग्राम आउटपुट

स्रोत

स्रोत कोड GitHub पर NeatMonster / Intel8086 पर उपलब्ध है । मैंने होली 8086 परिवार के उपयोगकर्ता नियमावली की मदद से बहुत अधिक सब कुछ दस्तावेज़ करने की कोशिश की है

मैं सभी लापता opcodes और सुविधाओं को लागू करने का इरादा रखता हूं, ताकि आप रिलीज 1.0 की जांच कर सकें इस चुनौती के लिए आवश्यक संस्करण के साथ ।

@Copy को बहुत धन्यवाद!


13

सामान्य लिस्प - 580 स्थान (442 w / o रिक्त रेखाएँ और टिप्पणियाँ)

मैंने कॉमन लिस्प सीखने के बहाने इस चुनौती का इस्तेमाल किया। यहाँ परिणाम है:

;;; Program settings

(defparameter *disasm* nil "Whether to disassemble")

(defmacro disasm-instr (on-disasm &body body)
  `(if *disasm*
       ,on-disasm
       (progn ,@body)))

;;; State variables

(defparameter *ram* (make-array (* 64 1024) :initial-element 0 :element-type '(unsigned-byte 8)) "Primary segment")
(defparameter *stack* (make-array (* 64 1024) :initial-element 0 :element-type '(unsigned-byte 8)) "Stack segment")
(defparameter *flags* '(:cf 0 :sf 0 :zf 0) "Flags")
(defparameter *registers* '(:ax 0 :bx 0 :cx 0 :dx 0 :bp 0 :sp #x100 :si 0 :di 0) "Registers")
(defparameter *ip* 0 "Instruction pointer")
(defparameter *has-carried* nil "Whether the last wraparound changed the value")
(defparameter *advance* 0 "Bytes to advance IP by after an operation")

;;; Constants

(defconstant +byte-register-to-word+ '(:al (:ax nil) :ah (:ax t) :bl (:bx nil) :bh (:bx t) :cl (:cx nil) :ch (:cx t) :dl (:dx nil) :dh (:dx t)) "Mapping from byte registers to word registers")
(defconstant +bits-to-register+ '(:ax :cx :dx :bx :sp :bp :si :di) "Mapping from index to word register")
(defconstant +bits-to-byte-register+ '(:al :cl :dl :bl :ah :ch :dh :bh) "Mapping from index to byte register")

;;; Constant mappings

(defun bits->word-reg (bits)
  (elt +bits-to-register+ bits))

(defun bits->byte-reg (bits)
  (elt +bits-to-byte-register+ bits))

(defun address-for-r/m (mod-bits r/m-bits)
  (disasm-instr
      (if (and (= mod-bits #b00) (= r/m-bits #b110))
      (list :disp (peek-at-word))
      (case r/m-bits
        (#b000 (list :base :bx :index :si))
        (#b001 (list :base :bx :index :di))
        (#b010 (list :base :bp :index :si))
        (#b011 (list :base :bp :index :di))
        (#b100 (list :index :si))
        (#b101 (list :index :di))
        (#b110 (list :base :bp))
        (#b111 (list :base :bx))))
    (if (and (= mod-bits #b00) (= r/m-bits #b110))
    (peek-at-word)
    (case r/m-bits
      (#b000 (+ (register :bx) (register :si)))
      (#b001 (+ (register :bx) (register :di)))
      (#b010 (+ (register :bp) (register :si)))
      (#b011 (+ (register :bp) (register :di)))
      (#b100 (register :si))
      (#b101 (register :di))
      (#b110 (register :bp))
      (#b111 (register :bx))))))

;;; Convenience functions

(defun reverse-little-endian (low high)
  "Reverse a little-endian number."
  (+ low (ash high 8)))

(defun negative-p (value is-word)
  (or (if is-word (>= value #x8000) (>= value #x80)) (< value 0)))

(defun twos-complement (value is-word)
  (if (negative-p value is-word)
      (- (1+ (logxor value (if is-word #xffff #xff))))
      value))

(defun wrap-carry (value is-word)
  "Wrap around an carried value."
  (let ((carry (if is-word (>= value #x10000) (>= value #x100))))
    (setf *has-carried* carry)
    (if carry
    (if is-word (mod value #x10000) (mod value #x100))
    value)))

;;; setf-able locations

(defun register (reg)
  (disasm-instr reg
    (getf *registers* reg)))

(defun set-reg (reg value)
  (setf (getf *registers* reg) (wrap-carry value t)))

(defsetf register set-reg)

(defun byte-register (reg)
  (disasm-instr reg
    (let* ((register-to-word (getf +byte-register-to-word+ reg)) (word (first register-to-word)))
      (if (second register-to-word)
      (ash (register word) -8)
      (logand (register word) #x00ff)))))

(defun set-byte-reg (reg value)
  (let* ((register-to-word (getf +byte-register-to-word+ reg)) (word (first register-to-word)) (wrapped-value (wrap-carry value nil)))
    (if (second register-to-word)
    (setf (register word) (+ (ash wrapped-value 8) (logand (register word) #x00ff)))
    (setf (register word) (+ wrapped-value (logand (register word) #xff00))))))

(defsetf byte-register set-byte-reg)

(defun flag (name)
  (getf *flags* name))

(defun set-flag (name value)
  (setf (getf *flags* name) value))

(defsetf flag set-flag)

(defun flag-p (name)
  (= (flag name) 1))

(defun set-flag-p (name is-set)
  (setf (flag name) (if is-set 1 0)))

(defsetf flag-p set-flag-p)

(defun byte-in-ram (location segment)
  "Read a byte from a RAM segment."
  (elt segment location))

(defsetf byte-in-ram (location segment) (value)
  "Write a byte to a RAM segment."
  `(setf (elt ,segment ,location) ,value))

(defun word-in-ram (location segment)
  "Read a word from a RAM segment."
  (reverse-little-endian (elt segment location) (elt segment (1+ location))))

(defsetf word-in-ram (location segment) (value)
  "Write a word to a RAM segment."
  `(progn
     (setf (elt ,segment ,location) (logand ,value #x00ff))
     (setf (elt ,segment (1+ ,location)) (ash (logand ,value #xff00) -8))))

(defun indirect-address (mod-bits r/m-bits is-word)
  "Read from an indirect address."
  (disasm-instr
      (if (= mod-bits #b11) (register (if is-word (bits->word-reg r/m-bits) (bits->byte-reg r/m-bits)))
      (let ((base-index (address-for-r/m mod-bits r/m-bits)))
        (unless (getf base-index :disp)
          (setf (getf base-index :disp)
            (case mod-bits
              (#b00 0)
              (#b01 (next-instruction))
              (#b10 (next-word)))))
        base-index))
    (let ((address-base (address-for-r/m mod-bits r/m-bits)))
      (case mod-bits
    (#b00 (if is-word (word-in-ram address-base *ram*) (byte-in-ram address-base *ram*)))
    (#b01 (if is-word (word-in-ram (+ address-base (peek-at-instruction)) *ram*) (byte-in-ram (+ address-base (peek-at-instruction)) *ram*)))
    (#b10 (if is-word (word-in-ram (+ address-base (peek-at-word)) *ram*) (byte-in-ram (+ address-base (peek-at-word)) *ram*)))
    (#b11 (if is-word (register (bits->word-reg r/m-bits)) (byte-register (bits->byte-reg r/m-bits))))))))

(defsetf indirect-address (mod-bits r/m-bits is-word) (value)
  "Write to an indirect address."
  `(let ((address-base (address-for-r/m ,mod-bits ,r/m-bits)))
    (case ,mod-bits
      (#b00 (if ,is-word (setf (word-in-ram address-base *ram*) ,value) (setf (byte-in-ram address-base *ram*) ,value)))
      (#b01 (if ,is-word (setf (word-in-ram (+ address-base (peek-at-instruction)) *ram*) ,value) (setf (byte-in-ram (+ address-base (peek-at-instruction)) *ram*) ,value)))
      (#b10 (if ,is-word (setf (word-in-ram (+ address-base (peek-at-word)) *ram*) ,value) (setf (byte-in-ram (+ address-base (peek-at-word)) *ram*) ,value)))
      (#b11 (if ,is-word (setf (register (bits->word-reg ,r/m-bits)) ,value) (setf (byte-register (bits->byte-reg ,r/m-bits)) ,value))))))

;;; Instruction loader

(defun load-instructions-into-ram (instrs)
  (setf *ip* 0)
  (setf (subseq *ram* 0 #x7fff) instrs)
  (length instrs))

(defun next-instruction ()
  (incf *ip*)
  (elt *ram* (1- *ip*)))

(defun next-word ()
  (reverse-little-endian (next-instruction) (next-instruction)))

(defun peek-at-instruction (&optional (forward 0))
  (incf *advance*)
  (elt *ram* (+ *ip* forward)))

(defun peek-at-word ()
  (reverse-little-endian (peek-at-instruction) (peek-at-instruction 1)))

(defun advance-ip ()
  (incf *ip* *advance*)
  (setf *advance* 0))

(defun advance-ip-ahead-of-indirect-address (mod-bits r/m-bits)
  (cond
    ((or (and (= mod-bits #b00) (= r/m-bits #b110)) (= mod-bits #b10)) 2)
    ((= mod-bits #b01) 1)
    (t 0)))

(defun next-instruction-ahead-of-indirect-address (mod-bits r/m-bits)
  (let ((*ip* *ip*))
    (incf *ip* (advance-ip-ahead-of-indirect-address mod-bits r/m-bits))
    (incf *advance*)
    (next-instruction)))

(defun next-word-ahead-of-indirect-address (mod-bits r/m-bits)
  (let ((*ip* *ip*))
    (incf *ip* (advance-ip-ahead-of-indirect-address mod-bits r/m-bits))
    (incf *advance* 2)
    (next-word)))

;;; Memory access

(defun read-word-from-ram (loc &optional (segment *ram*))
  (word-in-ram loc segment))

(defun write-word-to-ram (loc word &optional (segment *ram*))
  (setf (word-in-ram loc segment) word))

(defun push-to-stack (value)
  (decf (register :sp) 2)
  (write-word-to-ram (register :sp) value *stack*))

(defun pop-from-stack ()
  (incf (register :sp) 2)
  (read-word-from-ram (- (register :sp) 2) *stack*))

;;; Flag effects

(defun set-cf-on-add (value)
  (setf (flag-p :cf) *has-carried*)
  value)

(defun set-cf-on-sub (value1 value2)
  (setf (flag-p :cf) (> value2 value1))
  (- value1 value2))

(defun set-sf-on-op (value is-word)
  (setf (flag-p :sf) (negative-p value is-word))
  value)

(defun set-zf-on-op (value)
  (setf (flag-p :zf) (= value 0))
  value)

;;; Operations

;; Context wrappers

(defun with-one-byte-opcode-register (opcode fn)
  (let ((reg (bits->word-reg (mod opcode #x08))))
    (funcall fn reg)))

(defmacro with-mod-r/m-byte (&body body)
  `(let* ((mod-r/m (next-instruction)) (r/m-bits (logand mod-r/m #b00000111)) (mod-bits (ash (logand mod-r/m #b11000000) -6)) (reg-bits (ash (logand mod-r/m #b00111000) -3)))
     ,@body))

(defmacro with-in-place-mod (dest mod-bits r/m-bits &body body)
  `(progn
     ,@body
     (when (equal (car ',dest) 'indirect-address)
       (decf *advance* (advance-ip-ahead-of-indirect-address ,mod-bits ,r/m-bits)))))

;; Templates

(defmacro mov (src dest)
  `(disasm-instr (list "mov" :src ,src :dest ,dest)
     (setf ,dest ,src)))

(defmacro xchg (op1 op2)
  `(disasm-instr (list "xchg" :op1 ,op1 :op2 ,op2)
     (rotatef ,op1 ,op2)))

(defmacro inc (op1 is-word)
  `(disasm-instr (list "inc" :op1 ,op1)
     (set-sf-on-op (set-zf-on-op (incf ,op1)) ,is-word)))

(defmacro dec (op1 is-word)
  `(disasm-instr (list "dec" :op1 ,op1)
     (set-sf-on-op (set-zf-on-op (decf ,op1)) ,is-word)))

;; Group handling

(defmacro parse-group-byte-pair (opcode operation mod-bits r/m-bits)
  `(,operation ,mod-bits ,r/m-bits (oddp ,opcode)))

(defmacro parse-group-opcode (&body body)
  `(with-mod-r/m-byte
     (case reg-bits
       ,@body)))

;; One-byte opcodes on registers

(defun clear-carry-flag ()
  (disasm-instr '("clc")
    (setf (flag-p :cf) nil)))

(defun set-carry-flag ()
  (disasm-instr '("stc")
    (setf (flag-p :cf) t)))

(defun push-register (reg)
  (disasm-instr (list "push" :src reg)
    (push-to-stack (register reg))))

(defun pop-to-register (reg)
  (disasm-instr (list "pop" :dest reg)
    (setf (register reg) (pop-from-stack))))

(defun inc-register (reg)
  (inc (register reg) t))

(defun dec-register (reg)
  (dec (register reg) t))

(defun xchg-register (reg)
  (disasm-instr (if (eql reg :ax) '("nop") (list "xchg" :op1 :ax :op2 reg))
    (xchg (register :ax) (register reg))))

(defun mov-byte-to-register (opcode)
  (let ((reg (bits->byte-reg (mod opcode #x08))))
    (mov (next-instruction) (byte-register reg))))

(defun mov-word-to-register (reg)
  (mov (next-word) (register reg)))

;; Flow control

(defun jmp-short ()
  (disasm-instr (list "jmp" :op1 (twos-complement (next-instruction) nil))
    (incf *ip* (twos-complement (next-instruction) nil))))

(defmacro jmp-short-conditionally (opcode condition mnemonic)
  `(let ((disp (next-instruction)))
     (if (evenp ,opcode)
       (disasm-instr (list (concatenate 'string "j" ,mnemonic) :op1 (twos-complement disp nil))
     (when ,condition
       (incf *ip* (twos-complement disp nil))))
       (disasm-instr (list (concatenate 'string "jn" ,mnemonic) :op1 (twos-complement disp nil))
     (unless ,condition
       (incf *ip* (twos-complement disp nil)))))))

(defun call-near ()
  (disasm-instr (list "call" :op1 (twos-complement (next-word) t))
    (push-to-stack (+ *ip* 2))
    (incf *ip* (twos-complement (next-word) t))))

(defun ret-from-call ()
  (disasm-instr '("ret")
    (setf *ip* (pop-from-stack))))

;; ALU

(defmacro parse-alu-opcode (opcode operation)
  `(let ((mod-8 (mod ,opcode 8)))
     (case mod-8
       (0
    (with-mod-r/m-byte
      (,operation (byte-register (bits->byte-reg reg-bits)) (indirect-address mod-bits r/m-bits nil) nil mod-bits r/m-bits)))
       (1
    (with-mod-r/m-byte
      (,operation (register (bits->word-reg reg-bits)) (indirect-address mod-bits r/m-bits t) t mod-bits r/m-bits)))
       (2
    (with-mod-r/m-byte
      (,operation (indirect-address mod-bits r/m-bits nil) (byte-register (bits->byte-reg reg-bits)) nil)))
       (3
    (with-mod-r/m-byte
      (,operation (indirect-address mod-bits r/m-bits t) (register (bits->word-reg reg-bits)) t)))
       (4
    (,operation (next-instruction) (byte-register :al) nil))
       (5
    (,operation (next-word) (register :ax) t)))))

(defmacro add-without-carry (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "add" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (set-cf-on-add (incf ,dest ,src)) ,is-word)))))

(defmacro add-with-carry (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "adc" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (set-cf-on-add (incf ,dest (+ ,src (flag :cf)))) ,is-word)))))

(defmacro sub-without-borrow (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "sub" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (let ((src-value ,src))
     (set-zf-on-op (set-sf-on-op (set-cf-on-sub (+ (decf ,dest src-value) src-value) src-value) ,is-word))))))

(defmacro sub-with-borrow (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "sbb" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (let ((src-plus-cf (+ ,src (flag :cf))))
     (set-zf-on-op (set-sf-on-op (set-cf-on-sub (+ (decf ,dest src-plus-cf) src-plus-cf) src-plus-cf) ,is-word))))))

(defmacro cmp-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "cmp" :src ,src :dest ,dest)
     (set-zf-on-op (set-sf-on-op (set-cf-on-sub ,dest ,src) ,is-word))))

(defmacro and-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "and" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (setf ,dest (logand ,src ,dest)) ,is-word))
       (setf (flag-p :cf) nil))))

(defmacro or-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "or" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (setf ,dest (logior ,src ,dest)) ,is-word))
       (setf (flag-p :cf) nil))))

(defmacro xor-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "xor" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (setf ,dest (logxor ,src ,dest)) ,is-word))
       (setf (flag-p :cf) nil))))

(defmacro parse-group1-byte (opcode operation mod-bits r/m-bits)
  `(case (mod ,opcode 4)
    (0 (,operation (next-instruction-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits nil) nil mod-bits r/m-bits))
    (1 (,operation (next-word-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits t) t mod-bits r/m-bits))
    (3 (,operation (twos-complement (next-instruction-ahead-of-indirect-address ,mod-bits ,r/m-bits) nil) (indirect-address ,mod-bits ,r/m-bits t) t mod-bits r/m-bits))))

(defmacro parse-group1-opcode (opcode)
  `(parse-group-opcode
     (0 (parse-group1-byte ,opcode add-without-carry mod-bits r/m-bits))
     (1 (parse-group1-byte ,opcode or-operation mod-bits r/m-bits))
     (2 (parse-group1-byte ,opcode add-with-carry mod-bits r/m-bits))
     (3 (parse-group1-byte ,opcode sub-with-borrow mod-bits r/m-bits))
     (4 (parse-group1-byte ,opcode and-operation mod-bits r/m-bits))
     (5 (parse-group1-byte ,opcode sub-without-borrow mod-bits r/m-bits))
     (6 (parse-group1-byte ,opcode xor-operation mod-bits r/m-bits))
     (7 (parse-group1-byte ,opcode cmp-operation mod-bits r/m-bits))))

;; Memory and register mov/xchg

(defun xchg-memory-register (opcode)
  (let ((is-word (oddp opcode)))
    (with-mod-r/m-byte
      (if is-word
      (xchg (register (bits->word-reg reg-bits)) (indirect-address mod-bits r/m-bits is-word))
      (xchg (byte-register (bits->byte-reg reg-bits)) (indirect-address mod-bits r/m-bits is-word))))))

(defmacro mov-immediate-to-memory (mod-bits r/m-bits is-word)
  `(if ,is-word
       (mov (next-word-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits t))
       (mov (next-instruction-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits nil))))

(defmacro parse-group11-opcode (opcode)
  `(parse-group-opcode
     (0 (parse-group-byte-pair ,opcode mov-immediate-to-memory mod-bits r/m-bits))))

(defmacro parse-mov-opcode (opcode)
  `(let ((mod-4 (mod ,opcode 4)))
     (with-mod-r/m-byte
       (case mod-4
     (0
      (mov (byte-register (bits->byte-reg reg-bits)) (indirect-address mod-bits r/m-bits nil)))
     (1
      (mov (register (bits->word-reg reg-bits)) (indirect-address mod-bits r/m-bits t)))
     (2
      (mov (indirect-address mod-bits r/m-bits nil) (byte-register (bits->byte-reg reg-bits))))
     (3
      (mov (indirect-address mod-bits r/m-bits t) (register (bits->word-reg reg-bits))))))))

;; Group 4/5 (inc/dec on EAs)

(defmacro inc-indirect (mod-bits r/m-bits is-word)
  `(inc (indirect-address ,mod-bits ,r/m-bits ,is-word) ,is-word))

(defmacro dec-indirect (mod-bits r/m-bits is-word)
  `(dec (indirect-address ,mod-bits ,r/m-bits ,is-word) ,is-word))

(defmacro parse-group4/5-opcode (opcode)
  `(parse-group-opcode
     (0 (parse-group-byte-pair ,opcode inc-indirect mod-bits r/m-bits))
     (1 (parse-group-byte-pair ,opcode dec-indirect mod-bits r/m-bits))))

;;; Opcode parsing

(defun in-paired-byte-block-p (opcode block)
  (= (truncate (/ opcode 2)) (/ block 2)))

(defun in-4-byte-block-p (opcode block)
  (= (truncate (/ opcode 4)) (/ block 4)))

(defun in-8-byte-block-p (opcode block)
  (= (truncate (/ opcode 8)) (/ block 8)))

(defun in-6-byte-block-p (opcode block)
  (and (= (truncate (/ opcode 8)) (/ block 8)) (< (mod opcode 8) 6)))

(defun parse-opcode (opcode)
  "Parse an opcode."
  (cond
    ((not opcode) (return-from parse-opcode nil))
    ((= opcode #xf4) (return-from parse-opcode '("hlt")))
    ((in-8-byte-block-p opcode #x40) (with-one-byte-opcode-register opcode #'inc-register))
    ((in-8-byte-block-p opcode #x48) (with-one-byte-opcode-register opcode #'dec-register))
    ((in-8-byte-block-p opcode #x50) (with-one-byte-opcode-register opcode #'push-register))
    ((in-8-byte-block-p opcode #x58) (with-one-byte-opcode-register opcode #'pop-to-register))
    ((in-8-byte-block-p opcode #x90) (with-one-byte-opcode-register opcode #'xchg-register))
    ((in-8-byte-block-p opcode #xb0) (mov-byte-to-register opcode))
    ((in-8-byte-block-p opcode #xb8) (with-one-byte-opcode-register opcode #'mov-word-to-register))
    ((= opcode #xf8) (clear-carry-flag))
    ((= opcode #xf9) (set-carry-flag))
    ((= opcode #xeb) (jmp-short))
    ((in-paired-byte-block-p opcode #x72) (jmp-short-conditionally opcode (flag-p :cf) "b"))
    ((in-paired-byte-block-p opcode #x74) (jmp-short-conditionally opcode (flag-p :zf) "z"))
    ((in-paired-byte-block-p opcode #x76) (jmp-short-conditionally opcode (or (flag-p :cf) (flag-p :zf)) "be"))
    ((in-paired-byte-block-p opcode #x78) (jmp-short-conditionally opcode (flag-p :sf) "s"))
    ((= opcode #xe8) (call-near))
    ((= opcode #xc3) (ret-from-call))
    ((in-6-byte-block-p opcode #x00) (parse-alu-opcode opcode add-without-carry))
    ((in-6-byte-block-p opcode #x08) (parse-alu-opcode opcode or-operation))
    ((in-6-byte-block-p opcode #x10) (parse-alu-opcode opcode add-with-carry))
    ((in-6-byte-block-p opcode #x18) (parse-alu-opcode opcode sub-with-borrow))
    ((in-6-byte-block-p opcode #x20) (parse-alu-opcode opcode and-operation))
    ((in-6-byte-block-p opcode #x28) (parse-alu-opcode opcode sub-without-borrow))
    ((in-6-byte-block-p opcode #x30) (parse-alu-opcode opcode xor-operation))
    ((in-6-byte-block-p opcode #x38) (parse-alu-opcode opcode cmp-operation))
    ((in-4-byte-block-p opcode #x80) (parse-group1-opcode opcode))
    ((in-4-byte-block-p opcode #x88) (parse-mov-opcode opcode))
    ((in-paired-byte-block-p opcode #x86) (xchg-memory-register opcode))
    ((in-paired-byte-block-p opcode #xc6) (parse-group11-opcode opcode))
    ((in-paired-byte-block-p opcode #xfe) (parse-group4/5-opcode opcode))))

;;; Main functions

(defun execute-instructions ()
  "Loop through loaded instructions."
  (loop
     for ret = (parse-opcode (next-instruction))
     until (equal ret '("hlt"))
     do (advance-ip)
     finally (return t)))

(defun disasm-instructions (instr-length)
  "Disassemble code."
  (loop
     for ret = (parse-opcode (next-instruction))
     collecting ret into disasm
     until (= *ip* instr-length)
     do (advance-ip)
     finally (return disasm)))

(defun loop-instructions (instr-length)
  (if *disasm*
      (disasm-instructions instr-length)
      (execute-instructions)))

(defun load-instructions-from-file (file)
  (with-open-file (in file :element-type '(unsigned-byte 8))
    (let ((instrs (make-array (file-length in) :element-type '(unsigned-byte 8) :initial-element 0 :adjustable t)))
      (read-sequence instrs in)
      instrs)))

(defun load-instructions (&key (file nil))
  (if file
      (load-instructions-from-file file)
      #()))

(defun print-video-ram (&key (width 80) (height 25) (stream t) (newline nil))
  (dotimes (line height)
    (dotimes (column width)
      (let ((char-at-cell (byte-in-ram (+ #x8000 (* line 80) column) *ram*)))
    (if (zerop char-at-cell)
        (format stream "~a" #\Space)
        (format stream "~a" (code-char char-at-cell)))))
    (if newline (format stream "~%"))))

(defun disasm (&key (file nil))
  (setf *disasm* t)
  (loop-instructions (load-instructions-into-ram (load-instructions :file file))))

(defun main (&key (file nil) (display nil) (stream t) (newline nil))
  (setf *disasm* nil)
  (loop-instructions (load-instructions-into-ram (load-instructions :file file)))
  (when display
    (print-video-ram :stream stream :newline newline)))

यहाँ Emacs में आउटपुट है:

दो पैन के साथ एमएसीएस विंडो, बाईं ओर लिस्प स्रोत का एक खंड और दाईं ओर आवश्यक सामग्री के साथ आरईपीएल आउटपुट।

मैं तीन मुख्य विशेषताओं को उजागर करना चाहता हूं। जब इस तरह के रूप निर्देश, को लागू करने के लिए इस कोड मैक्रो का गहन उपयोग करता mov, xchgहै, और artithmetic आपरेशनों। प्रत्येक निर्देश में एक disasm-instrमैक्रो कॉल शामिल है । यह रनटाइम के दौरान एक वैश्विक चर सेट पर अगर वास्तविक कोड के साथ डिस्सैम्ड को लागू करता है। रजिस्टर और अप्रत्यक्ष पते के मूल्यों को लिखने के लिए इस्तेमाल किए जाने वाले गंतव्य-अज्ञेय दृष्टिकोण पर मुझे विशेष रूप से गर्व है। निर्देशों को लागू करने वाले मैक्रोज़ गंतव्य के बारे में परवाह नहीं करते हैं, क्योंकि संभावना के रूप में प्राप्त होने वाले फॉर्म सामान्य setfकॉमन लिस्प मैक्रो के साथ काम करेंगे ।

कोड मेरे GitHub रेपो में पाया जा सकता है । "कोडगॉल्फ" शाखा के लिए देखें, क्योंकि मैंने पहले ही मास्टर में 8086 की अन्य विशेषताओं को लागू करना शुरू कर दिया है। मैंने FLAGS रजिस्टर के साथ पहले ही ओवरफ्लो और समता के झंडे लागू कर दिए हैं।

8086 में नहीं, 0x82और 0x83तार्किक ऑपरेटरों के संस्करणों में तीन ऑपरेशन हैं । यह बहुत देर से पकड़ा गया था, और यह उन ऑपरेशनों को हटाने के लिए काफी गड़बड़ होगा।

मैं अपने पायथन संस्करण के लिए @ja का शुक्रिया अदा करना चाहूंगा, जिसने मुझे इस उद्यम में जल्दी प्रेरित किया।


3
अतुल्य पहला जवाब! आपका स्वागत है साइट के लिए :)
DJMcMayhem

1
बहुत ही शांत भाषा विकल्प!
कॉपी

12

सी - 319 348 लाइनें

यह मेरी पोस्टस्क्रिप्ट प्रोग्राम का एक या कम प्रत्यक्ष अनुवाद है सी। बेशक स्टैक का उपयोग स्पष्ट चर के साथ बदल दिया गया है। एक निर्देश के क्षेत्र को चर में विभाजित किया जाता है o- निर्देश ओपोड बाइट, d- दिशा क्षेत्र, w- चौड़ाई क्षेत्र। यदि यह "mod-reg-r / m" निर्देश है, तो mr-rm बाइट में पढ़ा जाता है struct rm r। Reg और r / m फ़ील्ड को डिकोड करना दो चरणों में होता है: डेटा को पॉइंटर की गणना करना और डेटा को लोड करना, एक ही चर का पुन: उपयोग करना। तो कुछ के लिए जैसे ADD AX,BX, पहले x कुल्हाड़ी के लिए एक सूचक है और y bx के लिए एक सूचक है, तो x सामग्री (कुल्हाड़ी) है और y सामग्री (bx) है। इस तरह के विभिन्न प्रकारों के लिए चर का पुन: उपयोग करने के लिए बहुत सारी कास्टिंग की आवश्यकता होती है।

फ़ंक्शन पॉइंटर्स की तालिका के साथ ओपकोड बाइट डिकोड किया जाता है। प्रत्येक फ़ंक्शन बॉडी को पुन: प्रयोज्य टुकड़ों के लिए मैक्रोज़ का उपयोग करके बनाया गया है। DWमैक्रो सभी opcode कार्यों में मौजूद है और डीकोड dऔर wसे चर oopcode बाइट। RMPमैक्रो "श्री-rm" बाइट डिकोडिंग के पहले चरण करता है, और LDXYदूसरे चरण प्रदर्शन करती है। एक परिणाम को संग्रहीत करने वाले ऑपकोड pपरिणाम स्थान के लिए सूचक को रखने के लिए चर का उपयोग करते zहैं और परिणाम मान रखने के लिए चर का उपयोग करते हैं। zमूल्य की गणना के बाद झंडे की गणना की जाती है। INCऔर DECसंचालन सामान्य उपयोग करने से पहले झंडा कैरी बचाने के MATHFLAGSसमारोह (के हिस्से के रूप ADDयाSUB submacro) और इसे बाद में पुनर्स्थापित करें, कैरी को संरक्षित करने के लिए।

संपादित करें: कीड़े तय!
संपादित करें: विस्तारित और टिप्पणी की गई। जब trace==0वीडियो को डंप करते समय यह ANSI मूव-टू -0,0 कमांड को आउटपुट करता है। इसलिए यह वास्तविक प्रदर्शन को बेहतर बनाता है। BIGENDIANबात (यह है कि काम नहीं किया) हटा दिया गया है। यह कुछ स्थानों पर छोटे-छोटे बाइट ऑर्डर पर निर्भर करता है, लेकिन मेरी योजना अगले संशोधन में इसे ठीक करने की है। मूल रूप से, सभी सूचक का उपयोग के माध्यम से जाने की जरूरत है get_और put_कार्य करता है जो स्पष्ट रूप से (डी) ले क्रम में बाइट्स लिखें।

#include<ctype.h>
#include<stdint.h>
#include<stdio.h>
#include<stdlib.h>
#include<string.h>
#include<sys/stat.h>
#include<unistd.h>
#define P printf
#define R return
#define T typedef
T intptr_t I; T uintptr_t U;
T short S; T unsigned short US;
T signed char C; T unsigned char UC; T void V;  // to make everything shorter
U o,w,d,f; // opcode, width, direction, extra temp variable (was initially for a flag, hence 'f')
U x,y,z;   // left operand, right operand, result
void *p;   // location to receive result
UC halt,debug=0,trace=0,reg[28],null[2],mem[0xffff]={ // operating flags, register memory, RAM
    1, (3<<6),        // ADD ax,ax
    1, (3<<6)+(4<<3), // ADD ax,sp
    3, (3<<6)+(4<<3), // ADD sp,ax
    0xf4 //HLT
};

// register declaration and initialization
#define H(_)_(al)_(ah)_(cl)_(ch)_(dl)_(dh)_(bl)_(bh)
#define X(_)_(ax)     _(cx)     _(dx)     _(bx)     _(sp)_(bp)_(si)_(di)_(ip)_(fl)
#define SS(_)_(cs)_(ds)_(ss)_(es)
#define HD(_)UC*_;      // half-word regs declared as unsigned char *
#define XD(_)US*_;      // full-word regs declared as unsigned short *
#define HR(_)_=(UC*)(reg+i++);      // init and increment by one
#define XR(_)_=(US*)(reg+i);i+=2;   // init and increment by two
H(HD)X(XD)SS(XD)V init(){I i=0;H(HR)i=0;X(XR)SS(XR)}    // declare and initialize register pointers
enum { CF=1<<0, PF=1<<2, AF=1<<4, ZF=1<<6, SF=1<<7, OF=1<<11 };

#define HP(_)P(#_ ":%02x ",*_);     // dump a half-word reg as zero-padded hex
#define XP(_)P(#_ ":%04x ",*_);     // dump a full-word reg as zero-padded hex
V dump(){ //H(HP)P("\n");
    P("\n"); X(XP)
    if(trace)P("%s %s %s %s ",*fl&CF?"CA":"NC",*fl&OF?"OV":"NO",*fl&SF?"SN":"NS",*fl&ZF?"ZR":"NZ");
    P("\n");  // ^^^ crack flag bits into strings ^^^
}

// get and put into memory in a strictly little-endian format
I get_(void*p,U w){R w? *(UC*)p + (((UC*)p)[1]<<8) :*(UC*)p;}
V put_(void*p,U x,U w){ if(w){ *(UC*)p=x; ((UC*)p)[1]=x>>8; }else *(UC*)p=x; }
// get byte or word through ip, incrementing ip
UC fetchb(){ U x = get_(mem+(*ip)++,0); if(trace)P("%02x(%03o) ",x,x); R x; }
US fetchw(){I w=fetchb();R w|(fetchb()<<8);}

T struct rm{U mod,reg,r_m;}rm;      // the three fields of the mod-reg-r/m byte
rm mrm(U m){ R(rm){ (m>>6)&3, (m>>3)&7, m&7 }; }    // crack the mrm byte into fields
U decreg(U reg,U w){    // decode the reg field, yielding a uintptr_t to the register (byte or word)
    if (w)R (U)((US*[]){ax,cx,dx,bx,sp,bp,si,di}[reg]);
    else R (U)((UC*[]){al,cl,dl,bl,ah,ch,dh,bh}[reg]); }
U rs(US*x,US*y){ R get_(x,1)+get_(y,1); }  // fetch and sum two full-words
U decrm(rm r,U w){      // decode the r/m byte, yielding uintptr_t
    U x=(U[]){rs(bx,si),rs(bx,di),rs(bp,si),rs(bp,di),get_(si,1),get_(di,1),get_(bp,1),get_(bx,1)}[r.r_m];
    switch(r.mod){ case 0: if (r.r_m==6) R (U)(mem+fetchw()); break;
                   case 1: x+=fetchb(); break;
                   case 2: x+=fetchw(); break;
                   case 3: R decreg(r.r_m,w); }
    R (U)(mem+x); }

// opcode helpers
    // set d and w from o
#define DW  if(trace){ P("%s:\n",__func__); } \
            d=!!(o&2); \
            w=o&1;
    // fetch mrm byte and decode, setting x and y as pointers to args and p ptr to dest
#define RMP rm r=mrm(fetchb());\
            x=decreg(r.reg,w); \
            y=decrm(r,w); \
            if(trace>1){ P("x:%d\n",x); P("y:%d\n",y); } \
            p=d?(void*)x:(void*)y;

    // fetch x and y values from x and y pointers
#define LDXY \
            x=get_((void*)x,w); \
            y=get_((void*)y,w); \
            if(trace){ P("x:%d\n",x); P("y:%d\n",y); }

    // normal mrm decode and load
#define RM  RMP LDXY

    // immediate to accumulator
#define IA x=(U)(p=w?(UC*)ax:al); \
           x=get_((void*)x,w); \
           y=w?fetchw():fetchb();

    // flags set by logical operators
#define LOGFLAGS  *fl=0; \
                  *fl |= ( (z&(w?0x8000:0x80))           ?SF:0) \
                       | ( (z&(w?0xffff:0xff))==0        ?ZF:0) ;

    // additional flags set by math operators
#define MATHFLAGS *fl |= ( (z&(w?0xffff0000:0xff00))     ?CF:0) \
                       | ( ((z^x)&(z^y)&(w?0x8000:0x80)) ?OF:0) \
                       | ( ((x^y^z)&0x10)                ?AF:0) ;

    // store result to p ptr
#define RESULT \
        if(trace)P(w?"->%04x ":"->%02x ",z); \
        put_(p,z,w);

// operators, composed with helpers in the opcode table below
    // most of these macros will "enter" with x and y already loaded with operands
#define PUSH(x) put_(mem+(*sp-=2),*(x),1)
#define POP(x) *(x)=get_(mem+(*sp+=2)-2,1)
#define ADD z=x+y; LOGFLAGS MATHFLAGS RESULT
#define ADC x+=(*fl&CF); ADD
#define SUB z=d?x-y:y-x; LOGFLAGS MATHFLAGS RESULT
#define SBB d?y+=*fl&CF:(x+=*fl&CF); SUB
#define CMP p=null; SUB
#define AND z=x&y; LOGFLAGS RESULT
#define  OR z=x|y; LOGFLAGS RESULT
#define XOR z=x^y; LOGFLAGS RESULT
#define INC(r) w=1; d=1; p=(V*)r; x=(S)*r; y=1; f=*fl&CF; ADD *fl=(*fl&~CF)|f;
#define DEC(r) w=1; d=1; p=(V*)r; x=(S)*r; y=1; f=*fl&CF; SUB *fl=(*fl&~CF)|f;
#define F(f) !!(*fl&f)
#define J(c) U cf=F(CF),of=F(OF),sf=F(SF),zf=F(ZF); y=(S)(C)fetchb(); \
                  if(trace)P("<%d> ", c); \
                  if(c)*ip+=(S)y;
#define JN(c) J(!(c))
#define IMM(a,b) rm r=mrm(fetchb()); \
            p=(void*)(y=decrm(r,w)); \
            a \
            x=w?fetchw():fetchb(); \
            b \
            d=0; \
            y=get_((void*)y,w); \
            if(trace){ P("x:%d\n",x); P("y:%d\n",y); } \
            if(trace){ P("%s ", (C*[]){"ADD","OR","ADC","SBB","AND","SUB","XOR","CMP"}[r.reg]); } \
            switch(r.reg){case 0:ADD break; \
                          case 1:OR break; \
                          case 2:ADC break; \
                          case 3:SBB break; \
                          case 4:AND break; \
                          case 5:SUB break; \
                          case 6:XOR break; \
                          case 7:CMP break; }
#define IMMIS IMM(w=0;,w=1;x=(S)(C)x;)
#define TEST z=x&y; LOGFLAGS MATHFLAGS
#define XCHG f=x;z=y; LDXY if(w){*(US*)f=y;*(US*)z=x;}else{*(UC*)f=y;*(UC*)z=x;}
#define MOV z=d?y:x; RESULT
#define MOVSEG
#define LEA RMP z=((UC*)y)-mem; RESULT
#define NOP
#define AXCH(r) x=(U)ax; y=(U)(r); w=1; XCHG
#define CBW *ax=(S)(C)*al;
#define CWD z=(I)(S)*ax; *dx=z>>16;
#define CALL x=w?fetchw():(S)(C)fetchb(); PUSH(ip); (*ip)+=(S)x;
#define WAIT
#define PUSHF PUSH(fl)
#define POPF POP(fl)
#define SAHF x=*fl; y=*ah; x=(x&~0xff)|y; *fl=x;
#define LAHF *ah=(UC)*fl;
#define mMOV if(d){ x=get_(mem+fetchw(),w); if(w)*ax=x; else*al=x; } \
             else { put_(mem+fetchw(),w?*ax:*al,w); }
#define MOVS
#define CMPS
#define STOS
#define LODS
#define SCAS
#define iMOVb(r) (*r)=fetchb();
#define iMOVw(r) (*r)=fetchw();
#define RET(v) POP(ip); if(v)*sp+=v*2;
#define LES
#define LDS
#define iMOVm if(w){iMOVw((US*)y)}else{iMOVb((UC*)y)}
#define fRET(v) POP(cs); RET(v)
#define INT(v)
#define INT0
#define IRET
#define Shift rm r=mrm(fetchb());
#define AAM
#define AAD
#define XLAT
#define ESC(v)
#define LOOPNZ
#define LOOPZ
#define LOOP
#define JCXZ
#define IN
#define OUT
#define INv
#define OUTv
#define JMP x=fetchw(); *ip+=(S)x;
#define sJMP x=(S)(C)fetchb(); *ip+=(S)x;
#define FARJMP
#define LOCK
#define REP
#define REPZ
#define HLT halt=1
#define CMC *fl=(*fl&~CF)|((*fl&CF)^1);
#define NOT
#define NEG
#define MUL
#define IMUL
#define DIV
#define IDIV
#define Grp1 rm r=mrm(fetchb()); \
             y=decrm(r,w); \
             if(trace)P("%s ", (C*[]){}[r.reg]); \
             switch(r.reg){case 0: TEST; break; \
                           case 2: NOT; break; \
                           case 3: NEG; break; \
                           case 4: MUL; break; \
                           case 5: IMUL; break; \
                           case 6: DIV; break; \
                           case 7: IDIV; break; }
#define Grp2 rm r=mrm(fetchb()); \
             y=decrm(r,w); \
             if(trace)P("%s ", (C*[]){"INC","DEC","CALL","CALL","JMP","JMP","PUSH"}[r.reg]); \
             switch(r.reg){case 0: INC((S*)y); break; \
                           case 1: DEC((S*)y); break; \
                           case 2: CALL; break; \
                           case 3: CALL; break; \
                           case 4: *ip+=(S)y; break; \
                           case 5: JMP; break; \
                           case 6: PUSH((S*)y); break; }
#define CLC *fl=*fl&~CF;
#define STC *fl=*fl|CF;
#define CLI
#define STI
#define CLD
#define STD

// opcode table
// An x-macro table of pairs (a, b) where a becomes the name of a void function(void) which
// implements the opcode, and b comprises the body of the function (via further macro expansion)
#define OP(_)\
/*dw:bf                 wf                     bt                    wt   */ \
_(addbf, RM ADD)      _(addwf, RM ADD)       _(addbt,  RM ADD)     _(addwt, RM ADD)     /*00-03*/\
_(addbi, IA ADD)      _(addwi, IA ADD)       _(pushes, PUSH(es))   _(popes, POP(es))    /*04-07*/\
_(orbf,  RM OR)       _(orwf,  RM OR)        _(orbt,   RM OR)      _(orwt,  RM OR)      /*08-0b*/\
_(orbi,  IA OR)       _(orwi,  IA OR)        _(pushcs, PUSH(cs))   _(nop0,       )      /*0c-0f*/\
_(adcbf, RM ADC)      _(adcwf, RM ADC)       _(adcbt,  RM ADC)     _(adcwt, RM ADC)     /*10-13*/\
_(adcbi, IA ADC)      _(adcwi, IA ADC)       _(pushss, PUSH(ss))   _(popss, POP(ss))    /*14-17*/\
_(sbbbf, RM SBB)      _(sbbwf, RM SBB)       _(sbbbt,  RM SBB)     _(sbbwt, RM SBB)     /*18-1b*/\
_(sbbbi, IA SBB)      _(sbbwi, IA SBB)       _(pushds, PUSH(ds))   _(popds, POP(ds))    /*1c-1f*/\
_(andbf, RM AND)      _(andwf, RM AND)       _(andbt, RM AND)      _(andwt, RM AND)     /*20-23*/\
_(andbi, IA AND)      _(andwi, IA AND)       _(esseg, )            _(daa, )             /*24-27*/\
_(subbf, RM SUB)      _(subwf, RM SUB)       _(subbt, RM SUB)      _(subwt, RM SUB)     /*28-2b*/\
_(subbi, IA SUB)      _(subwi, IA SUB)       _(csseg, )            _(das, )             /*2c-2f*/\
_(xorbf, RM XOR)      _(xorwf, RM XOR)       _(xorbt, RM XOR)      _(xorwt, RM XOR)     /*30-33*/\
_(xorbi, IA XOR)      _(xorwi, IA XOR)       _(ssseg, )            _(aaa, )             /*34-37*/\
_(cmpbf, RM CMP)      _(cmpwf, RM CMP)       _(cmpbt, RM CMP)      _(cmpwt, RM CMP)     /*38-3b*/\
_(cmpbi, IA CMP)      _(cmpwi, IA CMP)       _(dsseg, )            _(aas, )             /*3c-3f*/\
_(incax, INC(ax))     _(inccx, INC(cx))      _(incdx, INC(dx))     _(incbx, INC(bx))    /*40-43*/\
_(incsp, INC(sp))     _(incbp, INC(bp))      _(incsi, INC(si))     _(incdi, INC(di))    /*44-47*/\
_(decax, DEC(ax))     _(deccx, DEC(cx))      _(decdx, DEC(dx))     _(decbx, DEC(bx))    /*48-4b*/\
_(decsp, DEC(sp))     _(decbp, DEC(bp))      _(decsi, DEC(si))     _(decdi, DEC(di))    /*4c-4f*/\
_(pushax, PUSH(ax))   _(pushcx, PUSH(cx))    _(pushdx, PUSH(dx))   _(pushbx, PUSH(bx))  /*50-53*/\
_(pushsp, PUSH(sp))   _(pushbp, PUSH(bp))    _(pushsi, PUSH(si))   _(pushdi, PUSH(di))  /*54-57*/\
_(popax, POP(ax))     _(popcx, POP(cx))      _(popdx, POP(dx))     _(popbx, POP(bx))    /*58-5b*/\
_(popsp, POP(sp))     _(popbp, POP(bp))      _(popsi, POP(si))     _(popdi, POP(di))    /*5c-5f*/\
_(nop1, ) _(nop2, )   _(nop3, ) _(nop4, )    _(nop5, ) _(nop6, )   _(nop7, ) _(nop8, )  /*60-67*/\
_(nop9, ) _(nopA, )   _(nopB, ) _(nopC, )    _(nopD, ) _(nopE, )   _(nopF, ) _(nopG, )  /*68-6f*/\
_(jo, J(of))          _(jno, JN(of))         _(jb, J(cf))          _(jnb, JN(cf))       /*70-73*/\
_(jz, J(zf))          _(jnz, JN(zf))         _(jbe, J(cf|zf))      _(jnbe, JN(cf|zf))   /*74-77*/\
_(js, J(sf))          _(jns, JN(sf))         _(jp, )               _(jnp, )             /*78-7b*/\
_(jl, J(sf^of))       _(jnl_, JN(sf^of))     _(jle, J((sf^of)|zf)) _(jnle,JN((sf^of)|zf))/*7c-7f*/\
_(immb, IMM(,))       _(immw, IMM(,))        _(immb1, IMM(,))      _(immis, IMMIS)      /*80-83*/\
_(testb, RM TEST)     _(testw, RM TEST)      _(xchgb, RMP XCHG)    _(xchgw, RMP XCHG)   /*84-87*/\
_(movbf, RM MOV)      _(movwf, RM MOV)       _(movbt, RM MOV)      _(movwt, RM MOV)     /*88-8b*/\
_(movsegf, RM MOVSEG) _(lea, LEA)            _(movsegt, RM MOVSEG) _(poprm,RM POP((US*)p))/*8c-8f*/\
_(nopH, )             _(xchgac, AXCH(cx))    _(xchgad, AXCH(dx))   _(xchgab, AXCH(bx))  /*90-93*/\
_(xchgasp, AXCH(sp))  _(xchabp, AXCH(bp))    _(xchgasi, AXCH(si))  _(xchadi, AXCH(di))  /*94-97*/\
_(cbw, CBW)           _(cwd, CWD)            _(farcall, )          _(wait, WAIT)        /*98-9b*/\
_(pushf, PUSHF)       _(popf, POPF)          _(sahf, SAHF)         _(lahf, LAHF)        /*9c-9f*/\
_(movalb, mMOV)       _(movaxw, mMOV)        _(movbal, mMOV)       _(movwax, mMOV)      /*a0-a3*/\
_(movsb, MOVS)        _(movsw, MOVS)         _(cmpsb, CMPS)        _(cmpsw, CMPS)       /*a4-a7*/\
_(testaib, IA TEST)   _(testaiw, IA TEST)    _(stosb, STOS)        _(stosw, STOS)       /*a8-ab*/\
_(lodsb, LODS)        _(lodsw, LODS)         _(scasb, SCAS)        _(scasw, SCAS)       /*ac-af*/\
_(movali, iMOVb(al))  _(movcli, iMOVb(cl))   _(movdli, iMOVb(dl))  _(movbli, iMOVb(bl)) /*b0-b3*/\
_(movahi, iMOVb(ah))  _(movchi, iMOVb(ch))   _(movdhi, iMOVb(dh))  _(movbhi, iMOVb(bh)) /*b4-b7*/\
_(movaxi, iMOVw(ax))  _(movcxi, iMOVw(cx))   _(movdxi, iMOVw(dx))  _(movbxi, iMOVw(bx)) /*b8-bb*/\
_(movspi, iMOVw(sp))  _(movbpi, iMOVw(bp))   _(movsii, iMOVw(si))  _(movdii, iMOVw(di)) /*bc-bf*/\
_(nopI, )             _(nopJ, )              _(reti, RET(fetchw())) _(retz, RET(0))     /*c0-c3*/\
_(les, LES)           _(lds, LDS)            _(movimb, RMP iMOVm)  _(movimw, RMP iMOVm) /*c4-c7*/\
_(nopK, )             _(nopL, )              _(freti, fRET(fetchw())) _(fretz, fRET(0)) /*c8-cb*/\
_(int3, INT(3))       _(inti, INT(fetchb())) _(int0, INT(0))       _(iret, IRET)        /*cc-cf*/\
_(shiftb, Shift)      _(shiftw, Shift)       _(shiftbv, Shift)     _(shiftwv, Shift)    /*d0-d3*/\
_(aam, AAM)           _(aad, AAD)            _(nopM, )             _(xlat, XLAT)        /*d4-d7*/\
_(esc0, ESC(0))       _(esc1, ESC(1))        _(esc2, ESC(2))       _(esc3, ESC(3))      /*d8-db*/\
_(esc4, ESC(4))       _(esc5, ESC(5))        _(esc6, ESC(6))       _(esc7, ESC(7))      /*dc-df*/\
_(loopnz, LOOPNZ)     _(loopz, LOOPZ)        _(loop, LOOP)         _(jcxz, JCXZ)        /*e0-e3*/\
_(inb, IN)            _(inw, IN)             _(outb, OUT)          _(outw, OUT)         /*e4-e7*/\
_(call, w=1; CALL)    _(jmp, JMP)            _(farjmp, FARJMP)     _(sjmp, sJMP)        /*e8-eb*/\
_(invb, INv)          _(invw, INv)           _(outvb, OUTv)        _(outvw, OUTv)       /*ec-ef*/\
_(lock, LOCK)         _(nopN, )              _(rep, REP)           _(repz, REPZ)        /*f0-f3*/\
_(hlt, HLT)           _(cmc, CMC)            _(grp1b, Grp1)        _(grp1w, Grp1)       /*f4-f7*/\
_(clc, CLC)           _(stc, STC)            _(cli, CLI)           _(sti, STI)          /*f8-fb*/\
_(cld, CLD)           _(std, STD)            _(grp2b, Grp2)        _(grp2w, Grp2)       /*fc-ff*/
#define OPF(a,b)void a(){DW b;}     // generate opcode function
#define OPN(a,b)a,                  // extract name
OP(OPF)void(*tab[])()={OP(OPN)};    // generate functions, declare and populate fp table with names

V clean(C*s){I i;       // replace unprintable characters in 80-byte buffer with spaces
    for(i=0;i<80;i++)
        if(!isprint(s[i]))
            s[i]=' ';
}
V video(){I i;          // dump the (cleaned) video memory to the console
    C buf[81]="";
    if(!trace)P("\e[0;0;f");
    for(i=0;i<28;i++)
        memcpy(buf, mem+0x8000+i*80, 80),
        clean(buf),
        P("\n%s",buf);
    P("\n");
}

static I ct;        // timer memory for period video dump
V run(){while(!halt){if(trace)dump();
    if(!ct--){ct=10; video();}
    tab[o=fetchb()]();}}
V dbg(){
    while(!halt){
        C c;
        if(!ct--){ct=10; video();}
        if(trace)dump();
        //scanf("%c", &c);
        fgetc(stdin);
        //switch(c){
        //case '\n':
        //case 's':
            tab[o=fetchb()]();
            //break;
        //}
    }
}

I load(C*f){struct stat s; FILE*fp;     // load a file into memory at address zero
    R (fp=fopen(f,"rb"))
        && fstat(fileno(fp),&s) || fread(mem,s.st_size,1,fp); }

I main(I c,C**v){
    init();
    if(c>1){            // if there's an argument
        load(v[1]);     //     load named file
    }
    *sp=0x100;          // initialize stack pointer
    if(debug) dbg();    // if debugging, debug
    else run();         // otherwise, just run
    video();            // dump final video
    R 0;}               // remember what R means? cf. line 9

विभिन्न ऑपरेशन के चरणों के लिए मैक्रोज़ का उपयोग करना एक बहुत करीबी शब्दार्थ मैच के लिए बनाता है जिस तरह से पोस्टस्क्रिप्ट कोड विशुद्ध रूप से क्रमबद्ध तरीके से संचालित होता है। उदाहरण के लिए, पहले चार opcodes, 0x00-0x03 अलग-अलग दिशा के साथ सभी ADD निर्देश हैं (REG -> REG / MOD, REG <- REG / MOD) और बाइट / शब्द आकार, इसलिए वे फ़ंक्शन तालिका में बिल्कुल समान हैं। ।

_(addbf, RM ADD)      _(addwf, RM ADD)       _(addbt,  RM ADD)     _(addwt, RM ADD)

फ़ंक्शन तालिका इस मैक्रो के साथ त्वरित है:

OP(OPF)

जो OPF()प्रत्येक opcode प्रतिनिधित्व पर लागू होता है। OPF()की तरह परिभाषित किया गया है:

#define OPF(a,b)void a(){DW b;}     // generate opcode function

तो, पहले चार opcodes का विस्तार (एक बार) करने के लिए:

void addbf(){ DW RM ADD ; }
void addwf(){ DW RM ADD ; }
void addbt(){ DW RM ADD ; }
void addwt(){ DW RM ADD ; }

ये फ़ंक्शंस DWमैक्रो के परिणाम से खुद को अलग करते हैं जो दिशा और बाइट / शब्द बिट्स को निर्धारित करता है सीधे ओपोड बाइट से। इन कार्यों में से एक के शरीर का विस्तार करना (एक बार) उत्पन्न करता है:

if(trace){ P("%s:\n",__func__); }  // DW: set d and w from o
d=!!(o&2);
w=o&1;
RMP LDXY  // RM: normal mrm decode and load
z=x+y; LOGFLAGS MATHFLAGS RESULT  // ADD
;

जहां मुख्य लूप ने पहले ही oचर सेट कर दिया है:

while(!halt){tab[o=fetchb()]();}}

एक बार और विस्तार करने से ओपकोड के सभी "मांस" मिलते हैं:

// DW: set d and w from o
if(trace){ P("%s:\n",__func__); }
d=!!(o&2);
w=o&1;

// RMP: fetch mrm byte and decode, setting x and y as pointers to args and p ptr to dest
rm r=mrm(fetchb());
x=decreg(r.reg,w);
y=decrm(r,w);
if(trace>1){ P("x:%d\n",x); P("y:%d\n",y); }
p=d?(void*)x:(void*)y;

// LDXY: fetch x and y values from x and y pointers
x=get_((void*)x,w);
y=get_((void*)y,w);
if(trace){ P("x:%d\n",x); P("y:%d\n",y); }

z=x+y;   // ADD
// LOGFLAGS: flags set by logical operators
*fl=0;
*fl |= ( (z&(w?0x8000:0x80))           ?SF:0)
     | ( (z&(w?0xffff:0xff))==0        ?ZF:0) ;

// MATHFLAGS: additional flags set by math operators
*fl |= ( (z&(w?0xffff0000:0xff00))     ?CF:0)
     | ( ((z^x)&(z^y)&(w?0x8000:0x80)) ?OF:0)
     | ( ((x^y^z)&0x10)                ?AF:0) ;

// RESULT: store result to p ptr
if(trace)P(w?"->%04x ":"->%02x ",z);
put_(p,z,w);
;

और पूरी तरह से पूर्वनिर्मित समारोह, से होकर गुजरा indent:

void
addbf ()
{
  if (trace)
    {
      printf ("%s:\n", __func__);
    }
  d = ! !(o & 2);
  w = o & 1;
  rm r = mrm (fetchb ());
  x = decreg (r.reg, w);
  y = decrm (r, w);
  if (trace > 1)
    {
      printf ("x:%d\n", x);
      printf ("y:%d\n", y);
    }
  p = d ? (void *) x : (void *) y;
  x = get_ ((void *) x, w);
  y = get_ ((void *) y, w);
  if (trace)
    {
      printf ("x:%d\n", x);
      printf ("y:%d\n", y);
    }
  z = x + y;
  *fl = 0;
  *fl |=
    ((z & (w ? 0x8000 : 0x80)) ? SF : 0) | ((z & (w ? 0xffff : 0xff)) ==
                        0 ? ZF : 0);
  *fl |=
    ((z & (w ? 0xffff0000 : 0xff00)) ? CF : 0) |
    (((z ^ x) & (z ^ y) & (w ? 0x8000 : 0x80)) ? OF : 0) |
    (((x ^ y ^ z) & 0x10) ? AF : 0);
  if (trace)
    printf (w ? "->%04x " : "->%02x ", z);
  put_ (p, z, w);;
}

रोजमर्रा के उपयोग के लिए सबसे बड़ी सी शैली नहीं है, लेकिन मैक्रोज़ का उपयोग करना इस तरह से कार्यान्वयन को बहुत छोटा और बहुत सीधा लगता है।

ट्रेस आउटपुट की पूंछ के साथ टेस्ट प्रोग्राम आउटपुट:

43(103) incbx:
->0065 
ax:0020 cx:0015 dx:0190 bx:0065 sp:1000 bp:0000 si:0000 di:00c2 ip:013e fl:0000 NC NO NS NZ 
83(203) immis:
fb(373) 64(144) x:100
y:101
CMP ->0001 
ax:0020 cx:0015 dx:0190 bx:0065 sp:1000 bp:0000 si:0000 di:00c2 ip:0141 fl:0000 NC NO NS NZ 
76(166) jbe:
da(332) <0> 
ax:0020 cx:0015 dx:0190 bx:0065 sp:1000 bp:0000 si:0000 di:00c2 ip:0143 fl:0000 NC NO NS NZ 
f4(364) hlt:

.........                                                                       
Hello, world!                                                                   
0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~ 


################################################################################
##                                                                            ##
##  0 1 1 2 3 5 8 13 21 34 55 89 144 233 377 610 987                          ##
##                                                                            ##
##  0 1 4 9 16 25 36 49 64 81 100 121 144 169 196 225 256 289 324 361 400     ##
##                                                                            ##
##  2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97    ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
################################################################################

मैंने comp.lang.c में कुछ पहले के संस्करणों को साझा किया था, लेकिन वे बहुत रुचि नहीं थे।



indentएड 5810 लाइनें।
लूसर ड्रग
हमारी साइट का प्रयोग करके, आप स्वीकार करते हैं कि आपने हमारी Cookie Policy और निजता नीति को पढ़ और समझा लिया है।
Licensed under cc by-sa 3.0 with attribution required.